OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ha1588
    from Rev 7 to Rev 8
    Reverse comparison

Rev 7 → Rev 8

/trunk/rtl/tsu/ptp_parser.v
37,10 → 37,10
end
end
 
reg [9:0] ptp_cnt;
reg [ 9:0] ptp_cnt;
reg ptp_vlan, ptp_ip, ptp_udp, ptp_port, ptp_event;
reg [3:0] ptp_msgid;
reg [7:0] ptp_seqid;
reg [ 3:0] ptp_msgid;
reg [15:0] ptp_seqid;
always @(posedge rst or posedge clk) begin
if (rst)
ptp_cnt <= 10'd0;
59,7 → 59,7
ptp_port <= 1'b0;
ptp_event <= 1'b0;
ptp_msgid <= 4'd0;
ptp_seqid <= 8'd0;
ptp_seqid <= 16'd0;
end
else if (ptp_valid_d1 && ptp_sop_d1) begin
ptp_vlan <= 1'b0;
68,7 → 68,7
ptp_port <= 1'b0;
ptp_event <= 1'b0;
ptp_msgid <= 4'd0;
ptp_seqid <= 8'd0;
ptp_seqid <= 16'd0;
end
else begin
if (ptp_valid_d1 && ptp_cnt==10'd4) // ether_type == vlan
94,19 → 94,19
always @(posedge rst or posedge clk) begin
if (rst) begin
ptp_found <= 1'b0;
ptp_infor <= 42'd0;
ptp_infor <= 48'd0;
end
else if (ptp_valid_d1 && ptp_sop_d1) begin
ptp_found <= 1'b0;
ptp_infor <= 42'd0;
ptp_infor <= 48'd0;
end
else if (ptp_valid_d1 && ptp_eop_d1) begin
ptp_found <= ptp_event;
ptp_infor <= {ptp_msgid, ptp_seqid, ptp_time};
ptp_infor <= {ptp_seqid, ptp_msgid[1:0], ptp_time};
end
else begin
ptp_found <= 1'b0;
ptp_infor <= 42'd0;
ptp_infor <= 48'd0;
end
end
 
/trunk/rtl/tsu/tsu_queue.v
179,7 → 179,7
// ptp time stamp dcfifo
wire q_wr_clk = gmii_clk;
wire q_wr_en = ptp_found;
wire [47:0] q_wr_data = {6'd0, ptp_infor};
wire [47:0] q_wr_data = ptp_infor;
wire [3:0] q_wrusedw;
wire [3:0] q_rdusedw;
 
/trunk/sim/tsu/wave.do
20,7 → 20,7
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_data
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/int_mod
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_cnt
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_RX/parser/ptp_cnt
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_valid_d1
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_sop_d1
33,25 → 33,35
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_udp
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_port
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_event
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_msgid
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_seqid
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/parser/ptp_found
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/parser/ptp_infor
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/queue/aclr
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/queue/wrclk
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/queue/wrreq
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/queue/data
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/queue/wrusedw
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/queue/rdclk
add wave -noupdate -format Logic /tsu_queue_tb/DUT_RX/queue/rdreq
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/queue/q
add wave -noupdate -format Literal /tsu_queue_tb/DUT_RX/queue/rdusedw
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Literal -radix unsigned /tsu_queue_tb/DUT_TX/parser/ptp_cnt
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_valid_d1
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_sop_d1
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_eop_d1
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_mod_d1
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_data_d1
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_vlan
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_ip
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_udp
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_port
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_event
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_msgid
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_seqid
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Logic /tsu_queue_tb/DUT_TX/parser/ptp_found
add wave -noupdate -format Literal /tsu_queue_tb/DUT_TX/parser/ptp_infor
add wave -noupdate -divider {New Divider}
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {2531732 ps} 0}
configure wave -namecolwidth 150
WaveRestoreCursors {{Cursor 1} {21230000 ps} 0}
configure wave -namecolwidth 307
configure wave -valuecolwidth 165
configure wave -justifyvalue left
configure wave -signalnamewidth 0
65,4 → 75,4
configure wave -timeline 0
configure wave -timelineunits ns
update
WaveRestoreZoom {0 ps} {27073200 ps}
WaveRestoreZoom {16852432 ps} {30375568 ps}
/trunk/sim/tsu/tsu_queue_tb.v
17,7 → 17,9
wire [47:0] q_rd_data;
 
initial begin
// emulate the hardware behavior when power-up
DUT_RX.ts_ack = 1'b0;
DUT_TX.ts_ack = 1'b0;
 
rst = 1'b0;
#10 rst = 1'b1;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.