OpenCores
URL https://opencores.org/ocsvn/heap_sorter/heap_sorter/trunk

Subversion Repositories heap_sorter

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /heap_sorter/trunk/standard_version
    from Rev 5 to Rev 7
    Reverse comparison

Rev 5 → Rev 7

/src/dpram4.vhd
41,8 → 41,8
subtype word_t is std_logic_vector((DATA_WIDTH-1) downto 0);
type memory_t is array((2**ADDR_WIDTH-1) downto 0) of word_t;
 
signal ram : memory_t := (others => x"33"); -- For debugging - initialize
-- simulated RAM with x"33"
signal ram : memory_t := (others => (others=>'1')); -- For debugging - initialize
-- simulated RAM with all ones
 
begin
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.