OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ion/trunk/src/common/libsoc
    from Rev 183 to Rev 229
    Reverse comparison

Rev 183 → Rev 229

/src/hw.h
13,8 → 13,8
 
#define UART_TX (0x20000000) /**< Addr of TX buffer */
#define UART_RX (0x20000000) /**< Addr of RX buffer */
#define UART_STATUS (0x20000020) /**< Addr of status register */
#define UART_RXRDY_MASK (0x00000001) /**< Flag mask for 'RX ready' */
#define UART_TXRDY_MASK (0x00000002) /**< Flag mask for 'TX ready' */
#define UART_STATUS (0x20000004) /**< Addr of status register */
#define UART_RXRDY_MASK (0x00000002) /**< Flag mask for 'RX ready' */
#define UART_TXRDY_MASK (0x00000001) /**< Flag mask for 'TX ready' */
 
#endif // HW_H_INCLUDED
/src/hw_ion_mpu.c
27,12 → 27,12
/** Replacement for the standard C library getchar. */
int getchar(void){
uint32_t uart;
uint8_t c;
while(1){
uart = *((volatile uint32_t *)UART_STATUS);
if(uart & UART_RXRDY_MASK) break;
}
uart = *((volatile uint32_t *)UART_RX);
uart = (uart >> 24) & 0x0ff;
return (int)uart;
c = *((volatile uint8_t *)UART_RX);
return (int)c;
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.