OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ion/trunk/tools
    from Rev 169 to Rev 170
    Reverse comparison

Rev 169 → Rev 170

/slite/src/slite.c
628,7 → 628,15
/** Write to debug register */
void debug_reg_write(t_state *s, uint32_t address, uint32_t data){
 
printf("DEBUG REG[%04x]=%08x\n", address & 0xffff, data);
if((address>= 0x0000f000) && (address < 0x0000f008)){
/* HW interrupt trigger register */
s->t.irq_trigger_countdown[address-0x0000f000] = data;
printf("DEBUG REG[%04x]=%08x\n", address & 0xffff, data);
}
else{
/* all other registers are used for display (like LEDs) */
printf("DEBUG REG[%04x]=%08x\n", address & 0xffff, data);
}
}
 
/** Write to memory, including simulated i/o */
965,7 → 973,7
if(s->t.irq_trigger_countdown[i]==0){
/* trigger interrupt i */
/* FIXME handle irq mask(s) in SR */
cause = 0; /* cause = hardware interrupt */
//cause = 0; /* cause = hardware interrupt */
s->t.irq_trigger_countdown[i]--;
}
else if (s->t.irq_trigger_countdown[i]>0){
1314,6 → 1322,9
reserved_opcode(epc, opcode, s);
}
}
else{
reserved_opcode(epc, opcode, s);
}
break;
case 0x20:/*LB*/ //r[rt]=(signed char)mem_read(s,1,ptr,1); break;
start_load(s, ptr, rt,(signed char)mem_read(s,1,ptr,1));

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.