OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ion/trunk/vhdl/tb
    from Rev 200 to Rev 205
    Reverse comparison

Rev 200 → Rev 205

/mips_tb.vhdl
132,7 → 132,7
SRAM_ADDR_SIZE => 32
)
port map (
interrupt => cpu_irq(0),
interrupt => cpu_irq,
 
-- interface to FPGA i/o devices
io_rd_data => io_rd_data,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.