OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ion/trunk/vhdl/tb
    from Rev 96 to Rev 98
    Reverse comparison

Rev 96 → Rev 98

/mips_tb2.vhdl
50,10 → 50,10
 
-- Simulation length in clock cycles
-- 2000 is enough for 'hello' sample, 22000 enough for 10 digits of pi
constant SIMULATION_LENGTH : integer := 20000;
constant SIMULATION_LENGTH : integer := 10000;
 
-- Simulated external SRAM size in 32-bit words
constant SRAM_SIZE : integer := 1024;
constant SRAM_SIZE : integer := 256;
-- Ext. SRAM address length (memory is 16 bits wide so it needs an extra address bit)
constant SRAM_ADDR_SIZE : integer := log2(SRAM_SIZE)+1;
 
74,8 → 74,8
 
-- bram0 is LSB, bram3 is MSB
signal bram3 : t_bram := (
X"3C",X"27",X"3C",X"24",X"3C",X"24",X"3C",X"27",
X"AC",X"00",X"14",X"24",X"0F",X"00",X"0B",X"00",
X"3C",X"27",X"3C",X"24",X"3C",X"24",X"00",X"00",
X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
86,40 → 86,143
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"23",X"AF",X"AF",X"AF",X"AF",X"AF",X"AF",X"AF",
X"AF",X"AF",X"AF",X"AF",X"AF",X"AF",X"AF",X"AF",
X"AF",X"AF",X"AF",X"40",X"23",X"AF",X"00",X"AF",
X"00",X"AF",X"3C",X"8C",X"00",X"8C",X"00",X"00",
X"0F",X"23",X"8F",X"8F",X"8F",X"8F",X"8F",X"8F",
X"8F",X"8F",X"8F",X"8F",X"8F",X"8F",X"8F",X"8F",
X"8F",X"8F",X"8F",X"8F",X"8F",X"8F",X"00",X"03",
X"8F",X"00",X"03",X"23",X"34",X"03",X"40",X"AC",
X"AC",X"AC",X"AC",X"AC",X"AC",X"AC",X"AC",X"AC",
X"AC",X"AC",X"AC",X"03",X"34",X"8C",X"8C",X"8C",
X"8C",X"8C",X"8C",X"8C",X"8C",X"8C",X"8C",X"8C",
X"8C",X"00",X"03",X"34",X"3C",X"27",X"AF",X"0F",
X"24",X"3C",X"0F",X"24",X"3C",X"8F",X"24",X"0B",
X"27",X"27",X"00",X"24",X"0B",X"24",X"10",X"00",
X"14",X"00",X"00",X"03",X"24",X"00",X"00",X"24",
X"00",X"14",X"A0",X"00",X"3C",X"03",X"8C",X"00",
X"30",X"10",X"00",X"AC",X"10",X"00",X"90",X"0B",
X"24",X"03",X"27",X"0B",X"00",X"03",X"00",X"24",
X"00",X"30",X"2C",X"3C",X"10",X"3C",X"8C",X"00",
X"30",X"10",X"00",X"24",X"AC",X"24",X"00",X"30",
X"2C",X"14",X"00",X"8C",X"00",X"30",X"10",X"00",
X"24",X"AC",X"0B",X"24",X"3C",X"8C",X"00",X"30",
X"10",X"3C",X"AC",X"03",X"00",X"3C",X"8C",X"00",
X"30",X"10",X"3C",X"8C",X"03",X"00",X"90",X"00",
X"10",X"24",X"3C",X"3C",X"24",X"10",X"00",X"24",
X"8C",X"00",X"30",X"10",X"00",X"AC",X"90",X"00",
X"14",X"00",X"03",X"00",X"8C",X"00",X"30",X"10",
X"00",X"AC",X"0B",X"24",X"03",X"00",X"00",X"00",
X"3C",X"24",X"24",X"8C",X"00",X"30",X"10",X"00",
X"8C",X"00",X"00",X"10",X"00",X"10",X"00",X"10",
X"00",X"A0",X"0B",X"24",X"00",X"03",X"A0",X"63",
X"69",X"74",X"3A",X"62",X"20",X"31",X"20",X"34",
X"31",X"67",X"76",X"69",X"20",X"34",X"00",X"0A",
X"6C",X"57",X"64",X"0A",X"00",X"00",X"00",X"00",
X"40",X"33",X"34",X"13",X"23",X"13",X"23",X"17",
X"00",X"0B",X"20",X"0B",X"20",X"0B",X"20",X"0B",
X"20",X"40",X"40",X"00",X"33",X"17",X"23",X"03",
X"00",X"23",X"03",X"40",X"3C",X"34",X"34",X"34",
X"34",X"A2",X"A2",X"A2",X"A2",X"A2",X"A2",X"A2",
X"A2",X"34",X"A2",X"34",X"A2",X"34",X"A2",X"34",
X"A2",X"34",X"A2",X"A2",X"A2",X"34",X"A2",X"34",
X"34",X"00",X"A2",X"A2",X"A2",X"34",X"A2",X"34",
X"20",X"A2",X"A2",X"A2",X"34",X"A2",X"34",X"24",
X"A2",X"A2",X"A2",X"34",X"A2",X"34",X"34",X"00",
X"A2",X"A2",X"A2",X"34",X"A2",X"34",X"34",X"14",
X"00",X"00",X"24",X"14",X"3C",X"14",X"00",X"00",
X"00",X"00",X"00",X"A2",X"00",X"20",X"A2",X"24",
X"34",X"14",X"00",X"00",X"24",X"14",X"3C",X"14",
X"00",X"00",X"00",X"00",X"00",X"00",X"A2",X"34",
X"24",X"14",X"00",X"00",X"24",X"14",X"3C",X"14",
X"00",X"00",X"00",X"00",X"00",X"00",X"A2",X"24",
X"24",X"14",X"00",X"00",X"24",X"14",X"3C",X"14",
X"00",X"00",X"00",X"00",X"A2",X"A2",X"A2",X"34",
X"A2",X"34",X"34",X"14",X"00",X"00",X"00",X"00",
X"00",X"A2",X"A2",X"A2",X"34",X"A2",X"34",X"34",
X"00",X"00",X"00",X"A2",X"24",X"34",X"00",X"00",
X"00",X"00",X"00",X"20",X"A2",X"34",X"24",X"00",
X"00",X"00",X"00",X"00",X"20",X"A2",X"24",X"24",
X"00",X"00",X"00",X"00",X"20",X"A2",X"3C",X"34",
X"3C",X"34",X"00",X"00",X"24",X"A2",X"A2",X"A2",
X"34",X"A2",X"34",X"34",X"00",X"00",X"00",X"A2",
X"A2",X"A2",X"34",X"A2",X"34",X"34",X"00",X"20",
X"A2",X"00",X"20",X"A2",X"24",X"00",X"20",X"A2",
X"00",X"20",X"A2",X"24",X"00",X"20",X"A2",X"00",
X"20",X"A2",X"A2",X"A2",X"34",X"A2",X"34",X"28",
X"20",X"A2",X"28",X"20",X"A2",X"A2",X"A2",X"34",
X"A2",X"34",X"2C",X"20",X"A2",X"2C",X"20",X"A2",
X"A2",X"A2",X"34",X"A2",X"34",X"34",X"00",X"20",
X"A2",X"00",X"20",X"A2",X"A2",X"A2",X"34",X"A2",
X"34",X"34",X"00",X"A2",X"A2",X"A2",X"34",X"A2",
X"34",X"34",X"00",X"A2",X"A2",X"A2",X"34",X"A2",
X"34",X"A2",X"34",X"A2",X"34",X"A2",X"34",X"A2",
X"34",X"A2",X"A2",X"A2",X"34",X"A2",X"34",X"34",
X"10",X"A2",X"A2",X"A2",X"A2",X"A2",X"34",X"A2",
X"34",X"34",X"34",X"34",X"34",X"34",X"04",X"A2",
X"A2",X"10",X"A2",X"A2",X"A2",X"03",X"A2",X"A2",
X"A2",X"A2",X"34",X"A2",X"34",X"34",X"34",X"34",
X"34",X"34",X"34",X"10",X"A2",X"A2",X"10",X"A2",
X"A2",X"A2",X"A2",X"A2",X"34",X"A2",X"34",X"34",
X"34",X"34",X"34",X"34",X"3C",X"34",X"34",X"04",
X"A2",X"A2",X"04",X"A2",X"A2",X"04",X"00",X"A2",
X"A2",X"A2",X"A2",X"34",X"A2",X"34",X"34",X"34",
X"34",X"34",X"34",X"3C",X"34",X"04",X"00",X"A2",
X"04",X"00",X"A2",X"10",X"A2",X"A2",X"A2",X"03",
X"A2",X"A2",X"A2",X"A2",X"34",X"A2",X"34",X"34",
X"34",X"34",X"34",X"3C",X"34",X"1C",X"A2",X"A2",
X"1C",X"A2",X"A2",X"A2",X"A2",X"A2",X"34",X"A2",
X"34",X"34",X"34",X"34",X"34",X"3C",X"34",X"18",
X"A2",X"A2",X"18",X"A2",X"A2",X"18",X"00",X"A2",
X"A2",X"A2",X"A2",X"34",X"A2",X"34",X"34",X"34",
X"34",X"34",X"34",X"3C",X"34",X"34",X"04",X"A2",
X"A2",X"04",X"A2",X"A2",X"04",X"00",X"A2",X"A2",
X"A2",X"A2",X"34",X"A2",X"34",X"34",X"34",X"34",
X"34",X"34",X"3C",X"34",X"04",X"00",X"A2",X"04",
X"00",X"A2",X"10",X"A2",X"A2",X"A2",X"03",X"A2",
X"A2",X"A2",X"A2",X"34",X"A2",X"34",X"34",X"34",
X"34",X"34",X"34",X"34",X"14",X"A2",X"A2",X"14",
X"A2",X"A2",X"A2",X"A2",X"A2",X"34",X"A2",X"34",
X"34",X"34",X"0B",X"A2",X"A2",X"A2",X"A2",X"A2",
X"34",X"A2",X"34",X"34",X"34",X"34",X"34",X"34",
X"0F",X"A2",X"A2",X"10",X"A2",X"A2",X"A2",X"03",
X"A2",X"A2",X"A2",X"A2",X"34",X"A2",X"34",X"34",
X"34",X"34",X"34",X"34",X"3C",X"24",X"00",X"A2",
X"A2",X"10",X"A2",X"A2",X"A2",X"03",X"A2",X"A2",
X"A2",X"A2",X"34",X"A2",X"34",X"34",X"34",X"3C",
X"24",X"00",X"A2",X"A2",X"A2",X"A2",X"A2",X"34",
X"A2",X"34",X"00",X"A2",X"A2",X"A2",X"34",X"A2",
X"34",X"34",X"00",X"20",X"A2",X"00",X"80",X"00",
X"0B",X"20",X"20",X"00",X"A2",X"0B",X"00",X"00",
X"0B",X"00",X"20",X"A2",X"A2",X"34",X"A2",X"34",
X"00",X"20",X"A2",X"00",X"80",X"00",X"0B",X"20",
X"20",X"00",X"0B",X"00",X"20",X"A2",X"A2",X"34",
X"A2",X"34",X"A2",X"34",X"A2",X"34",X"A2",X"A2",
X"A2",X"34",X"A2",X"00",X"3C",X"34",X"AC",X"80",
X"A2",X"80",X"00",X"A2",X"80",X"00",X"A2",X"80",
X"00",X"00",X"20",X"A2",X"20",X"A2",X"A2",X"A2",
X"34",X"A2",X"00",X"3C",X"34",X"AC",X"80",X"A2",
X"80",X"A2",X"80",X"A2",X"80",X"A2",X"A2",X"A2",
X"34",X"A2",X"00",X"3C",X"34",X"AC",X"84",X"A2",
X"84",X"A2",X"A2",X"A2",X"34",X"A2",X"00",X"3C",
X"34",X"AC",X"84",X"A2",X"84",X"A2",X"A2",X"A2",
X"34",X"A2",X"00",X"24",X"AC",X"34",X"8C",X"A2",
X"A2",X"A2",X"34",X"A2",X"34",X"A2",X"A2",X"A2",
X"34",X"A2",X"00",X"34",X"A4",X"80",X"A2",X"80",
X"A2",X"A2",X"A2",X"34",X"A2",X"00",X"3C",X"34",
X"AC",X"80",X"A2",X"80",X"A2",X"80",X"A2",X"80",
X"A2",X"A2",X"A2",X"34",X"A2",X"34",X"A2",X"34",
X"A2",X"34",X"A2",X"34",X"A2",X"A2",X"A2",X"34",
X"A2",X"34",X"34",X"00",X"A2",X"A2",X"A2",X"34",
X"A2",X"34",X"30",X"A2",X"A2",X"A2",X"34",X"A2",
X"3C",X"00",X"A2",X"A2",X"A2",X"34",X"A2",X"3C",
X"34",X"3C",X"34",X"00",X"A2",X"A2",X"A2",X"34",
X"A2",X"34",X"34",X"00",X"A2",X"A2",X"A2",X"34",
X"A2",X"34",X"34",X"A2",X"A2",X"A2",X"34",X"A2",
X"34",X"34",X"00",X"A2",X"A2",X"A2",X"34",X"A2",
X"34",X"38",X"A2",X"A2",X"A2",X"34",X"A2",X"34",
X"A2",X"34",X"A2",X"34",X"A2",X"A2",X"A2",X"34",
X"A2",X"34",X"00",X"00",X"A2",X"A2",X"A2",X"34",
X"A2",X"34",X"00",X"00",X"A2",X"A2",X"A2",X"34",
X"A2",X"34",X"00",X"00",X"A2",X"A2",X"A2",X"34",
X"A2",X"34",X"00",X"00",X"A2",X"A2",X"A2",X"34",
X"A2",X"34",X"A2",X"34",X"A2",X"34",X"A2",X"34",
X"A2",X"A2",X"A2",X"34",X"A2",X"3C",X"34",X"00",
X"00",X"A2",X"A2",X"A2",X"34",X"A2",X"3C",X"34",
X"34",X"00",X"00",X"A2",X"A2",X"A2",X"34",X"A2",
X"3C",X"34",X"00",X"A2",X"3C",X"00",X"20",X"A2",
X"A2",X"A2",X"34",X"A2",X"3C",X"34",X"34",X"00",
X"A2",X"34",X"3C",X"00",X"20",X"A2",X"A2",X"A2",
X"34",X"A2",X"3C",X"34",X"00",X"A2",X"3C",X"00",
X"A2",X"A2",X"A2",X"34",X"A2",X"3C",X"34",X"34",
X"00",X"A2",X"34",X"3C",X"00",X"A2",X"A2",X"A2",
X"34",X"A2",X"34",X"A2",X"34",X"A2",X"34",X"A2",
X"A2",X"A2",X"34",X"34",X"34",X"24",X"24",X"3C",
X"3C",X"36",X"02",X"02",X"01",X"02",X"02",X"01",
X"02",X"02",X"01",X"02",X"02",X"01",X"02",X"02",
X"01",X"02",X"02",X"21",X"02",X"02",X"21",X"02",
X"02",X"21",X"02",X"02",X"21",X"02",X"02",X"21",
X"02",X"02",X"25",X"02",X"02",X"25",X"02",X"02",
X"25",X"02",X"02",X"25",X"02",X"02",X"25",X"02",
X"02",X"01",X"02",X"02",X"01",X"02",X"02",X"01",
X"02",X"02",X"01",X"02",X"02",X"01",X"02",X"02",
X"01",X"02",X"02",X"01",X"02",X"02",X"01",X"02",
X"02",X"01",X"02",X"02",X"01",X"34",X"34",X"34",
X"00",X"00",X"00",X"00",X"00",X"28",X"00",X"28",
X"00",X"2C",X"00",X"2C",X"34",X"24",X"24",X"2C",
X"3C",X"36",X"36",X"36",X"36",X"3C",X"24",X"24",
X"24",X"1E",X"00",X"34",X"1E",X"00",X"34",X"1E",
X"00",X"34",X"1E",X"00",X"34",X"06",X"00",X"34",
X"06",X"00",X"34",X"06",X"00",X"34",X"06",X"00",
X"34",X"06",X"00",X"34",X"06",X"00",X"34",X"06",
X"00",X"34",X"06",X"00",X"34",X"0B",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
226,114 → 329,10
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00"
);
signal bram2 : t_bram := (
X"1C",X"9C",X"05",X"A5",X"04",X"84",X"1D",X"BD",
X"A0",X"A4",X"60",X"A5",X"F0",X"00",X"F0",X"00",
X"1C",X"9C",X"04",X"84",X"05",X"A5",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
344,41 → 343,144
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"BD",X"A1",X"A2",X"A3",X"A4",X"A5",X"A6",X"A7",
X"A8",X"A9",X"AA",X"AB",X"AC",X"AD",X"AE",X"AF",
X"B8",X"B9",X"BF",X"1A",X"5A",X"BA",X"00",X"BB",
X"00",X"BB",X"06",X"C4",X"00",X"C6",X"00",X"86",
X"F0",X"A5",X"A1",X"A2",X"A3",X"A4",X"A5",X"A6",
X"A7",X"A8",X"A9",X"AA",X"AB",X"AC",X"AD",X"AE",
X"AF",X"B8",X"B9",X"BF",X"BA",X"BB",X"00",X"60",
X"BB",X"00",X"60",X"BD",X"1B",X"40",X"9B",X"90",
X"91",X"92",X"93",X"94",X"95",X"96",X"97",X"9E",
X"9C",X"9D",X"9F",X"E0",X"02",X"90",X"91",X"92",
X"93",X"94",X"95",X"96",X"97",X"9E",X"9C",X"9D",
X"9F",X"00",X"E0",X"A2",X"04",X"BD",X"BF",X"F0",
X"84",X"04",X"F0",X"84",X"04",X"BF",X"84",X"F0",
X"BD",X"BD",X"00",X"07",X"F0",X"08",X"68",X"00",
X"E0",X"87",X"07",X"A2",X"43",X"60",X"00",X"A5",
X"00",X"80",X"C5",X"C0",X"03",X"A0",X"62",X"00",
X"42",X"40",X"00",X"65",X"86",X"00",X"85",X"F0",
X"84",X"E0",X"BD",X"F0",X"00",X"E0",X"00",X"05",
X"A4",X"C6",X"C3",X"02",X"60",X"07",X"43",X"00",
X"63",X"60",X"00",X"C6",X"E6",X"A5",X"A4",X"C6",
X"C3",X"60",X"00",X"43",X"00",X"63",X"60",X"00",
X"C6",X"E6",X"F0",X"A5",X"03",X"62",X"00",X"42",
X"40",X"02",X"44",X"E0",X"00",X"03",X"62",X"00",
X"42",X"40",X"02",X"42",X"E0",X"02",X"85",X"00",
X"A0",X"07",X"03",X"06",X"08",X"A7",X"00",X"84",
X"62",X"00",X"42",X"40",X"00",X"C5",X"85",X"00",
X"A0",X"00",X"E0",X"00",X"62",X"00",X"42",X"40",
X"00",X"C8",X"F0",X"84",X"E0",X"00",X"80",X"00",
X"05",X"04",X"08",X"A3",X"00",X"63",X"60",X"00",
X"A3",X"00",X"03",X"60",X"46",X"64",X"00",X"68",
X"00",X"E3",X"F0",X"C6",X"46",X"E0",X"C0",X"6F",
X"6C",X"69",X"20",X"20",X"32",X"20",X"31",X"31",
X"0A",X"63",X"65",X"6F",X"20",X"2E",X"00",X"0A",
X"6C",X"6F",X"21",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"1A",X"5A",X"1B",X"5B",X"7B",X"5B",X"7B",X"5B",
X"00",X"F0",X"84",X"F0",X"84",X"F0",X"84",X"F0",
X"84",X"1B",X"1A",X"1A",X"5A",X"40",X"7B",X"60",
X"00",X"7B",X"60",X"80",X"14",X"15",X"16",X"17",
X"18",X"97",X"95",X"97",X"95",X"97",X"95",X"97",
X"95",X"02",X"82",X"02",X"82",X"02",X"82",X"02",
X"82",X"02",X"82",X"97",X"95",X"02",X"82",X"03",
X"04",X"64",X"82",X"97",X"95",X"02",X"82",X"04",
X"82",X"82",X"97",X"95",X"02",X"82",X"04",X"85",
X"85",X"97",X"95",X"02",X"82",X"03",X"04",X"64",
X"82",X"97",X"95",X"02",X"82",X"02",X"03",X"60",
X"43",X"07",X"01",X"61",X"01",X"41",X"00",X"06",
X"00",X"00",X"00",X"84",X"00",X"84",X"84",X"02",
X"03",X"60",X"43",X"07",X"01",X"61",X"01",X"41",
X"00",X"06",X"00",X"00",X"00",X"04",X"84",X"02",
X"03",X"60",X"43",X"07",X"01",X"61",X"01",X"41",
X"00",X"06",X"00",X"00",X"00",X"04",X"84",X"02",
X"03",X"60",X"43",X"07",X"01",X"61",X"01",X"41",
X"00",X"06",X"00",X"00",X"84",X"97",X"95",X"02",
X"82",X"02",X"03",X"60",X"43",X"07",X"00",X"00",
X"00",X"84",X"97",X"95",X"02",X"82",X"02",X"03",
X"43",X"00",X"00",X"84",X"02",X"03",X"43",X"00",
X"00",X"04",X"85",X"84",X"84",X"02",X"03",X"43",
X"00",X"00",X"04",X"85",X"84",X"84",X"02",X"03",
X"43",X"00",X"00",X"85",X"84",X"84",X"04",X"84",
X"05",X"A5",X"85",X"00",X"C7",X"87",X"97",X"95",
X"02",X"82",X"02",X"03",X"43",X"00",X"00",X"84",
X"97",X"95",X"02",X"82",X"02",X"03",X"43",X"85",
X"85",X"62",X"85",X"85",X"02",X"43",X"85",X"85",
X"62",X"85",X"85",X"03",X"43",X"85",X"85",X"62",
X"85",X"85",X"97",X"95",X"02",X"82",X"02",X"44",
X"85",X"85",X"44",X"85",X"85",X"97",X"95",X"02",
X"82",X"02",X"44",X"85",X"85",X"44",X"85",X"85",
X"97",X"95",X"02",X"82",X"02",X"03",X"43",X"85",
X"85",X"62",X"85",X"85",X"97",X"95",X"02",X"82",
X"03",X"04",X"64",X"82",X"97",X"95",X"02",X"82",
X"03",X"04",X"64",X"82",X"97",X"95",X"02",X"82",
X"02",X"82",X"02",X"82",X"02",X"82",X"02",X"82",
X"02",X"82",X"97",X"95",X"02",X"82",X"0A",X"0B",
X"00",X"8A",X"96",X"8B",X"97",X"95",X"02",X"82",
X"0A",X"0B",X"0C",X"0D",X"0E",X"0F",X"11",X"8A",
X"8D",X"00",X"8E",X"8F",X"8B",X"E0",X"8C",X"96",
X"97",X"95",X"02",X"82",X"0A",X"0B",X"0C",X"0D",
X"02",X"03",X"04",X"43",X"8A",X"8B",X"64",X"8C",
X"96",X"8D",X"97",X"95",X"02",X"82",X"0A",X"0B",
X"0C",X"0D",X"0F",X"02",X"03",X"63",X"04",X"61",
X"8A",X"8B",X"41",X"8C",X"96",X"01",X"00",X"8F",
X"8D",X"97",X"95",X"02",X"82",X"0A",X"0B",X"0C",
X"0D",X"0E",X"0F",X"03",X"63",X"71",X"00",X"8A",
X"11",X"00",X"8D",X"00",X"8E",X"8F",X"8B",X"E0",
X"8C",X"96",X"97",X"95",X"02",X"82",X"0A",X"0B",
X"0C",X"0D",X"02",X"03",X"63",X"60",X"8A",X"8B",
X"40",X"8C",X"96",X"8D",X"97",X"95",X"02",X"82",
X"0A",X"0B",X"0C",X"0D",X"02",X"03",X"63",X"40",
X"8A",X"8B",X"60",X"8C",X"96",X"00",X"00",X"96",
X"8D",X"97",X"95",X"02",X"82",X"0A",X"0B",X"0C",
X"0D",X"0E",X"02",X"03",X"63",X"04",X"40",X"8A",
X"8B",X"60",X"8C",X"96",X"80",X"00",X"8D",X"8E",
X"97",X"95",X"02",X"82",X"0A",X"0B",X"0C",X"0D",
X"0E",X"0F",X"03",X"63",X"10",X"00",X"8A",X"70",
X"00",X"8D",X"00",X"8E",X"8F",X"8B",X"E0",X"8C",
X"96",X"97",X"95",X"02",X"82",X"0A",X"0B",X"0C",
X"0D",X"02",X"03",X"04",X"64",X"8A",X"8B",X"43",
X"8C",X"96",X"8D",X"97",X"95",X"02",X"82",X"0A",
X"0B",X"0F",X"F0",X"8A",X"8F",X"8B",X"97",X"95",
X"02",X"82",X"0A",X"0B",X"0C",X"0D",X"0E",X"0F",
X"F0",X"8A",X"8D",X"00",X"8E",X"8F",X"8B",X"E0",
X"8C",X"96",X"97",X"95",X"02",X"82",X"0A",X"0B",
X"0C",X"0D",X"0E",X"0F",X"03",X"63",X"60",X"8A",
X"8D",X"00",X"8E",X"8F",X"8B",X"E0",X"8C",X"96",
X"97",X"95",X"02",X"82",X"0A",X"0B",X"0F",X"03",
X"63",X"60",X"8A",X"8F",X"8B",X"97",X"95",X"02",
X"82",X"02",X"00",X"82",X"97",X"95",X"02",X"82",
X"02",X"04",X"00",X"84",X"84",X"00",X"42",X"00",
X"F0",X"84",X"84",X"00",X"84",X"F0",X"00",X"00",
X"F0",X"00",X"84",X"97",X"95",X"02",X"82",X"04",
X"00",X"84",X"84",X"00",X"42",X"00",X"F0",X"84",
X"84",X"00",X"F0",X"00",X"84",X"97",X"95",X"02",
X"82",X"02",X"82",X"02",X"82",X"02",X"82",X"97",
X"95",X"02",X"82",X"18",X"03",X"63",X"43",X"44",
X"84",X"44",X"00",X"84",X"44",X"00",X"84",X"42",
X"00",X"02",X"63",X"83",X"42",X"82",X"97",X"95",
X"02",X"82",X"18",X"03",X"63",X"43",X"44",X"84",
X"44",X"84",X"44",X"84",X"42",X"82",X"97",X"95",
X"02",X"82",X"18",X"03",X"63",X"43",X"44",X"84",
X"42",X"82",X"97",X"95",X"02",X"82",X"18",X"03",
X"63",X"43",X"44",X"84",X"42",X"82",X"97",X"95",
X"02",X"82",X"18",X"03",X"43",X"03",X"42",X"82",
X"97",X"95",X"02",X"82",X"02",X"82",X"97",X"95",
X"02",X"82",X"18",X"02",X"82",X"83",X"83",X"82",
X"82",X"97",X"95",X"02",X"82",X"18",X"03",X"63",
X"43",X"44",X"84",X"44",X"84",X"44",X"84",X"42",
X"82",X"97",X"95",X"02",X"82",X"02",X"82",X"02",
X"82",X"02",X"82",X"02",X"82",X"97",X"95",X"02",
X"82",X"02",X"03",X"43",X"84",X"97",X"95",X"02",
X"82",X"02",X"44",X"84",X"97",X"95",X"02",X"82",
X"02",X"02",X"83",X"97",X"95",X"02",X"82",X"02",
X"42",X"03",X"63",X"43",X"84",X"97",X"95",X"02",
X"82",X"02",X"03",X"43",X"84",X"97",X"95",X"02",
X"82",X"02",X"44",X"84",X"97",X"95",X"02",X"82",
X"02",X"03",X"43",X"84",X"97",X"95",X"02",X"82",
X"02",X"44",X"84",X"97",X"95",X"02",X"82",X"02",
X"82",X"02",X"82",X"02",X"82",X"97",X"95",X"02",
X"82",X"02",X"40",X"00",X"83",X"97",X"95",X"02",
X"82",X"02",X"40",X"00",X"83",X"97",X"95",X"02",
X"82",X"02",X"40",X"00",X"83",X"97",X"95",X"02",
X"82",X"02",X"40",X"00",X"83",X"97",X"95",X"02",
X"82",X"02",X"82",X"02",X"82",X"02",X"82",X"02",
X"82",X"97",X"95",X"02",X"82",X"02",X"42",X"02",
X"03",X"83",X"97",X"95",X"02",X"82",X"02",X"42",
X"03",X"62",X"03",X"83",X"97",X"95",X"02",X"82",
X"02",X"42",X"02",X"83",X"02",X"02",X"63",X"83",
X"97",X"95",X"02",X"82",X"02",X"42",X"03",X"62",
X"83",X"03",X"02",X"62",X"63",X"83",X"97",X"95",
X"02",X"82",X"02",X"42",X"02",X"83",X"02",X"02",
X"83",X"97",X"95",X"02",X"82",X"02",X"42",X"03",
X"62",X"84",X"03",X"02",X"62",X"83",X"97",X"95",
X"02",X"82",X"02",X"82",X"02",X"82",X"02",X"82",
X"97",X"95",X"10",X"11",X"12",X"13",X"14",X"15",
X"16",X"D6",X"00",X"20",X"31",X"00",X"20",X"33",
X"00",X"20",X"34",X"00",X"C0",X"36",X"00",X"A0",
X"35",X"00",X"A0",X"28",X"00",X"A0",X"28",X"00",
X"60",X"28",X"00",X"20",X"28",X"00",X"C0",X"28",
X"00",X"A0",X"28",X"00",X"A0",X"28",X"00",X"60",
X"28",X"00",X"20",X"28",X"00",X"C0",X"28",X"00",
X"20",X"31",X"00",X"20",X"33",X"00",X"20",X"34",
X"00",X"C0",X"36",X"00",X"A0",X"35",X"00",X"40",
X"31",X"00",X"20",X"33",X"00",X"20",X"34",X"00",
X"C0",X"36",X"00",X"A0",X"35",X"06",X"04",X"05",
X"E0",X"85",X"E0",X"A4",X"E0",X"82",X"E0",X"A2",
X"E0",X"82",X"E0",X"A2",X"05",X"04",X"84",X"85",
X"10",X"10",X"31",X"31",X"31",X"14",X"15",X"16",
X"17",X"20",X"00",X"42",X"E0",X"00",X"42",X"00",
X"00",X"42",X"80",X"00",X"42",X"21",X"00",X"42",
X"E1",X"00",X"42",X"01",X"00",X"42",X"81",X"00",
X"42",X"20",X"00",X"42",X"E0",X"00",X"42",X"00",
X"00",X"42",X"80",X"00",X"42",X"F0",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
485,113 → 587,10
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00"
);
signal bram1 : t_bram := (
X"00",X"7F",X"00",X"00",X"00",X"04",X"00",X"03",
X"00",X"18",X"FF",X"00",X"00",X"00",X"00",X"00",
X"00",X"7F",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
602,42 → 601,44
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"70",X"FF",X"00",X"D8",X"00",
X"D8",X"00",X"20",X"00",X"00",X"00",X"00",X"20",
X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"68",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"70",X"68",X"D7",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"60",X"20",X"00",X"00",X"00",
X"0F",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"60",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",
X"00",X"00",X"00",X"00",X"00",X"1D",X"00",X"00",
X"00",X"00",X"FF",X"00",X"80",X"00",X"00",X"00",
X"10",X"00",X"20",X"00",X"20",X"00",X"00",X"FB",
X"00",X"00",X"00",X"00",X"FF",X"00",X"80",X"00",
X"00",X"00",X"10",X"00",X"20",X"20",X"00",X"06",
X"FF",X"00",X"00",X"00",X"FF",X"00",X"80",X"00",
X"00",X"00",X"10",X"00",X"20",X"20",X"00",X"FC",
X"FF",X"00",X"00",X"00",X"FF",X"00",X"80",X"00",
X"00",X"00",X"10",X"20",X"00",X"00",X"00",X"00",
X"00",X"03",X"00",X"00",X"00",X"00",X"10",X"00",
X"20",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"20",X"00",X"FF",X"00",X"00",X"28",
X"20",X"20",X"20",X"00",X"00",X"00",X"FF",X"00",
X"28",X"20",X"20",X"20",X"00",X"00",X"FF",X"FF",
X"00",X"28",X"20",X"20",X"00",X"00",X"FE",X"62",
X"00",X"8D",X"00",X"30",X"09",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"20",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"20",X"00",
X"00",X"20",X"00",X"00",X"FF",X"20",X"00",X"00",
X"20",X"00",X"00",X"FF",X"20",X"00",X"00",X"20",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"BF",X"FF",X"00",X"01",
X"05",X"BF",X"01",X"05",X"BF",X"00",X"05",X"01",
X"00",X"FF",X"10",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"30",X"00",X"10",X"28",X"00",
X"20",X"FF",X"00",X"20",X"20",X"30",X"00",X"00",
X"00",X"FF",X"00",X"00",X"00",X"00",X"FF",X"00",
X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"30",X"00",X"00",X"20",X"00",X"20",X"00",X"00",
X"00",X"FF",X"00",X"00",X"00",X"FF",X"30",X"00",
X"00",X"FF",X"00",X"00",X"00",X"00",X"FF",X"00",
X"00",X"00",X"00",X"FF",X"20",X"00",X"00",X"00",
X"FF",X"20",X"00",X"00",X"00",X"20",X"00",X"00",
X"00",X"FF",X"20",X"00",X"00",X"16",X"00",X"00",
X"00",X"00",X"20",X"20",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"FF",X"00",X"00",X"00",X"00",
X"FF",X"00",X"00",X"10",X"00",X"00",X"00",X"FF",
X"00",X"00",X"01",X"00",X"00",X"00",X"10",X"30",
X"20",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",
X"00",X"00",X"1E",X"00",X"38",X"00",X"00",X"00",
X"00",X"00",X"01",X"00",X"30",X"00",X"00",X"6D",
X"65",X"6D",X"46",X"31",X"30",X"2D",X"32",X"3A",
X"00",X"63",X"72",X"6E",X"34",X"31",X"00",X"48",
X"6F",X"72",X"0A",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"20",X"00",
X"00",X"20",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"10",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"10",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
646,36 → 647,98
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"FF",X"12",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"FF",X"12",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"FF",X"12",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"FF",X"12",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"FF",X"12",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"FF",X"12",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"02",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"02",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"BF",X"0A",X"F8",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BF",
X"0A",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"02",X"00",X"00",X"00",X"00",X"02",X"00",X"00",
X"02",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"FF",X"00",X"00",X"00",X"00",X"02",X"00",
X"00",X"00",X"02",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"10",X"41",X"43",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"1A",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"10",X"41",X"43",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"10",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"10",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"20",X"41",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"10",X"41",X"43",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"07",X"60",X"20",X"00",X"00",X"00",X"00",
X"00",X"07",X"60",X"00",X"00",X"00",X"00",X"00",
X"00",X"1C",X"00",X"00",X"00",X"00",X"00",X"F0",
X"F0",X"0F",X"0F",X"20",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"20",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"F0",X"F0",X"20",X"00",X"00",X"00",X"00",X"00",
X"F0",X"F0",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"18",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"18",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"18",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"18",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"40",X"42",X"1A",
X"1E",X"00",X"00",X"00",X"00",X"00",X"40",X"42",
X"00",X"18",X"1E",X"00",X"00",X"00",X"00",X"00",
X"40",X"42",X"1C",X"00",X"84",X"1E",X"FF",X"00",
X"00",X"00",X"00",X"00",X"40",X"42",X"00",X"18",
X"00",X"00",X"84",X"18",X"FF",X"00",X"00",X"00",
X"00",X"00",X"40",X"42",X"1C",X"00",X"84",X"1E",
X"00",X"00",X"00",X"00",X"00",X"40",X"42",X"00",
X"20",X"00",X"00",X"84",X"18",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"A5",X"00",X"00",X"FF",X"FC",X"80",
X"7F",X"FF",X"40",X"48",X"40",X"40",X"48",X"40",
X"40",X"48",X"40",X"40",X"48",X"40",X"40",X"48",
X"40",X"40",X"48",X"FF",X"40",X"48",X"00",X"40",
X"48",X"00",X"40",X"48",X"00",X"40",X"48",X"00",
X"40",X"48",X"FF",X"40",X"48",X"00",X"40",X"48",
X"00",X"40",X"48",X"00",X"40",X"48",X"00",X"40",
X"48",X"40",X"40",X"48",X"40",X"40",X"48",X"40",
X"40",X"48",X"40",X"40",X"48",X"40",X"40",X"48",
X"40",X"40",X"48",X"40",X"40",X"48",X"40",X"40",
X"48",X"40",X"40",X"48",X"40",X"A5",X"00",X"00",
X"10",X"10",X"10",X"10",X"10",X"00",X"10",X"00",
X"10",X"00",X"10",X"00",X"A5",X"04",X"F8",X"03",
X"7F",X"FF",X"03",X"00",X"00",X"80",X"FC",X"FF",
X"FF",X"00",X"00",X"55",X"00",X"00",X"55",X"00",
X"00",X"55",X"00",X"00",X"55",X"00",X"00",X"55",
X"00",X"00",X"55",X"00",X"00",X"55",X"00",X"00",
X"55",X"00",X"00",X"55",X"00",X"00",X"55",X"00",
X"00",X"55",X"00",X"00",X"55",X"04",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
782,74 → 845,11
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00"
);
signal bram0 : t_bram := (
X"00",X"F0",X"00",X"00",X"00",X"00",X"00",X"E8",
X"00",X"2A",X"FD",X"04",X"BC",X"00",X"0E",X"00",
X"00",X"F0",X"00",X"00",X"00",X"00",X"00",X"00",
X"72",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
860,40 → 860,143
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"98",X"10",X"14",X"18",X"1C",X"20",X"24",X"28",
X"2C",X"30",X"34",X"38",X"3C",X"40",X"44",X"48",
X"4C",X"50",X"54",X"00",X"FC",X"58",X"10",X"5C",
X"12",X"60",X"00",X"20",X"00",X"10",X"00",X"24",
X"3C",X"00",X"10",X"14",X"18",X"1C",X"20",X"24",
X"28",X"2C",X"30",X"34",X"38",X"3C",X"40",X"44",
X"48",X"4C",X"50",X"54",X"58",X"5C",X"00",X"11",
X"60",X"00",X"13",X"68",X"01",X"08",X"00",X"00",
X"04",X"08",X"0C",X"10",X"14",X"18",X"1C",X"20",
X"24",X"28",X"2C",X"08",X"00",X"00",X"04",X"08",
X"0C",X"10",X"14",X"18",X"1C",X"20",X"24",X"28",
X"2C",X"00",X"08",X"00",X"C0",X"E8",X"14",X"1E",
X"5C",X"C0",X"1E",X"84",X"C0",X"14",X"9C",X"1E",
X"18",X"E0",X"21",X"0A",X"D0",X"20",X"0C",X"00",
X"02",X"1B",X"0D",X"21",X"01",X"21",X"10",X"30",
X"12",X"F4",X"00",X"21",X"00",X"21",X"20",X"00",
X"02",X"FC",X"00",X"00",X"04",X"00",X"FF",X"DE",
X"FF",X"08",X"20",X"C9",X"00",X"08",X"00",X"1C",
X"06",X"0F",X"0A",X"00",X"0E",X"00",X"20",X"00",
X"02",X"FC",X"00",X"30",X"00",X"FC",X"06",X"0F",
X"0A",X"F4",X"00",X"20",X"00",X"02",X"FC",X"00",
X"57",X"00",X"FE",X"FC",X"00",X"20",X"00",X"02",
X"FC",X"00",X"00",X"08",X"00",X"00",X"20",X"00",
X"01",X"FC",X"00",X"00",X"08",X"02",X"00",X"00",
X"11",X"0A",X"00",X"00",X"0D",X"0E",X"00",X"01",
X"20",X"00",X"02",X"FC",X"00",X"00",X"00",X"00",
X"F4",X"00",X"08",X"21",X"20",X"00",X"02",X"FC",
X"00",X"00",X"28",X"01",X"08",X"00",X"21",X"21",
X"00",X"0A",X"0D",X"20",X"00",X"01",X"FC",X"00",
X"00",X"00",X"02",X"08",X"21",X"06",X"00",X"04",
X"00",X"00",X"43",X"01",X"21",X"08",X"00",X"70",
X"20",X"65",X"65",X"39",X"31",X"2D",X"3A",X"35",
X"00",X"20",X"73",X"3A",X"2E",X"0A",X"00",X"65",
X"20",X"6C",X"0A",X"00",X"00",X"00",X"00",X"00",
X"00",X"1F",X"08",X"09",X"01",X"05",X"01",X"07",
X"00",X"71",X"04",X"71",X"05",X"71",X"06",X"71",
X"0F",X"00",X"00",X"C2",X"01",X"03",X"04",X"08",
X"00",X"04",X"08",X"00",X"00",X"0A",X"58",X"0D",
X"80",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"41",X"00",X"72",X"00",X"69",X"00",X"74",
X"00",X"68",X"00",X"00",X"00",X"61",X"00",X"05",
X"3C",X"20",X"00",X"00",X"00",X"62",X"00",X"3C",
X"05",X"00",X"00",X"00",X"63",X"00",X"32",X"0F",
X"00",X"00",X"00",X"64",X"00",X"05",X"3C",X"20",
X"00",X"00",X"00",X"65",X"00",X"DE",X"75",X"02",
X"1A",X"0D",X"FF",X"04",X"00",X"02",X"00",X"0D",
X"12",X"00",X"12",X"00",X"10",X"19",X"00",X"07",
X"13",X"02",X"1A",X"0D",X"FF",X"04",X"00",X"02",
X"00",X"0D",X"12",X"00",X"12",X"22",X"00",X"1C",
X"E9",X"02",X"1A",X"0D",X"FF",X"04",X"00",X"02",
X"00",X"0D",X"12",X"00",X"12",X"22",X"00",X"7F",
X"F3",X"02",X"1A",X"0D",X"FF",X"04",X"00",X"02",
X"00",X"0D",X"12",X"12",X"00",X"00",X"00",X"66",
X"00",X"4D",X"0D",X"02",X"1B",X"0D",X"12",X"00",
X"12",X"00",X"00",X"00",X"67",X"00",X"05",X"0D",
X"18",X"00",X"12",X"00",X"FB",X"0D",X"18",X"10",
X"12",X"22",X"21",X"02",X"00",X"05",X"F3",X"18",
X"10",X"12",X"22",X"21",X"03",X"00",X"FB",X"F3",
X"18",X"10",X"12",X"21",X"03",X"00",X"98",X"E5",
X"06",X"B8",X"18",X"10",X"7A",X"00",X"00",X"00",
X"68",X"00",X"05",X"0D",X"19",X"00",X"12",X"00",
X"00",X"00",X"69",X"00",X"0A",X"0C",X"2A",X"40",
X"00",X"2A",X"42",X"00",X"F0",X"2A",X"42",X"00",
X"2A",X"44",X"00",X"FF",X"2A",X"44",X"00",X"2A",
X"46",X"00",X"00",X"00",X"6A",X"00",X"0A",X"0C",
X"40",X"00",X"08",X"42",X"00",X"00",X"00",X"6B",
X"00",X"0A",X"0C",X"40",X"00",X"08",X"42",X"00",
X"00",X"00",X"6C",X"00",X"0A",X"0C",X"2A",X"40",
X"00",X"2A",X"42",X"00",X"00",X"00",X"6D",X"00",
X"46",X"05",X"22",X"00",X"00",X"00",X"6E",X"00",
X"46",X"05",X"22",X"00",X"00",X"00",X"42",X"00",
X"72",X"00",X"61",X"00",X"6E",X"00",X"63",X"00",
X"68",X"00",X"00",X"00",X"61",X"00",X"41",X"42",
X"02",X"00",X"00",X"00",X"00",X"00",X"62",X"00",
X"41",X"42",X"43",X"44",X"45",X"58",X"05",X"00",
X"00",X"06",X"00",X"00",X"00",X"08",X"00",X"00",
X"00",X"00",X"63",X"00",X"41",X"42",X"43",X"44",
X"64",X"7B",X"7B",X"05",X"00",X"00",X"02",X"00",
X"00",X"00",X"00",X"00",X"64",X"00",X"41",X"42",
X"43",X"44",X"58",X"64",X"FF",X"34",X"7B",X"05",
X"00",X"00",X"02",X"00",X"00",X"02",X"00",X"00",
X"00",X"00",X"00",X"65",X"00",X"41",X"42",X"43",
X"44",X"45",X"58",X"FF",X"34",X"08",X"00",X"00",
X"05",X"00",X"00",X"06",X"00",X"00",X"00",X"08",
X"00",X"00",X"00",X"00",X"66",X"00",X"41",X"42",
X"43",X"44",X"64",X"FF",X"34",X"05",X"00",X"00",
X"02",X"00",X"00",X"00",X"00",X"00",X"67",X"00",
X"41",X"42",X"43",X"44",X"64",X"FF",X"34",X"05",
X"00",X"00",X"02",X"00",X"00",X"02",X"00",X"00",
X"00",X"00",X"00",X"68",X"00",X"41",X"42",X"43",
X"44",X"45",X"64",X"FF",X"34",X"00",X"05",X"00",
X"00",X"02",X"00",X"00",X"02",X"00",X"00",X"00",
X"00",X"00",X"69",X"00",X"41",X"42",X"43",X"44",
X"45",X"58",X"FF",X"34",X"08",X"00",X"00",X"05",
X"00",X"00",X"06",X"00",X"00",X"00",X"08",X"00",
X"00",X"00",X"00",X"6A",X"00",X"41",X"42",X"43",
X"44",X"64",X"7B",X"7B",X"05",X"00",X"00",X"02",
X"00",X"00",X"00",X"00",X"00",X"6B",X"00",X"41",
X"42",X"58",X"65",X"00",X"00",X"00",X"00",X"00",
X"6C",X"00",X"41",X"42",X"43",X"44",X"45",X"58",
X"76",X"00",X"00",X"06",X"00",X"00",X"00",X"08",
X"00",X"00",X"00",X"00",X"6D",X"00",X"41",X"42",
X"43",X"44",X"45",X"58",X"C0",X"30",X"09",X"00",
X"00",X"06",X"00",X"00",X"00",X"08",X"00",X"00",
X"00",X"00",X"6E",X"00",X"41",X"42",X"58",X"C0",
X"70",X"08",X"00",X"00",X"00",X"00",X"00",X"6F",
X"00",X"41",X"00",X"00",X"00",X"00",X"70",X"00",
X"7A",X"3B",X"0D",X"01",X"00",X"0D",X"10",X"0D",
X"B2",X"05",X"01",X"0D",X"00",X"BA",X"0D",X"00",
X"BB",X"00",X"01",X"00",X"00",X"71",X"00",X"3D",
X"0C",X"FF",X"00",X"0C",X"10",X"0C",X"C8",X"05",
X"01",X"00",X"CD",X"00",X"01",X"00",X"00",X"4C",
X"00",X"6F",X"00",X"61",X"00",X"64",X"00",X"00",
X"00",X"61",X"00",X"25",X"42",X"FC",X"10",X"10",
X"00",X"11",X"00",X"00",X"12",X"00",X"00",X"13",
X"00",X"03",X"45",X"00",X"49",X"00",X"00",X"00",
X"62",X"00",X"25",X"42",X"44",X"10",X"10",X"00",
X"11",X"00",X"12",X"00",X"13",X"00",X"00",X"00",
X"63",X"00",X"25",X"41",X"42",X"10",X"10",X"00",
X"12",X"00",X"00",X"00",X"64",X"00",X"25",X"41",
X"42",X"10",X"10",X"00",X"12",X"00",X"00",X"00",
X"65",X"00",X"25",X"41",X"10",X"00",X"10",X"00",
X"00",X"00",X"67",X"00",X"41",X"00",X"00",X"00",
X"68",X"00",X"25",X"42",X"10",X"10",X"00",X"11",
X"00",X"00",X"00",X"69",X"00",X"25",X"42",X"44",
X"10",X"10",X"00",X"11",X"00",X"12",X"00",X"13",
X"00",X"00",X"00",X"4C",X"00",X"6F",X"00",X"67",
X"00",X"69",X"00",X"63",X"00",X"00",X"00",X"61",
X"00",X"41",X"F3",X"24",X"00",X"00",X"00",X"62",
X"00",X"41",X"F3",X"00",X"00",X"00",X"63",X"00",
X"41",X"02",X"00",X"00",X"00",X"64",X"00",X"FF",
X"8E",X"0F",X"30",X"27",X"00",X"00",X"00",X"65",
X"00",X"40",X"01",X"25",X"00",X"00",X"00",X"66",
X"00",X"40",X"01",X"00",X"00",X"00",X"67",X"00",
X"43",X"02",X"26",X"00",X"00",X"00",X"68",X"00",
X"43",X"02",X"00",X"00",X"00",X"4D",X"00",X"6F",
X"00",X"76",X"00",X"65",X"00",X"00",X"00",X"61",
X"00",X"41",X"11",X"10",X"00",X"00",X"00",X"62",
X"00",X"41",X"13",X"12",X"00",X"00",X"00",X"63",
X"00",X"41",X"11",X"10",X"00",X"00",X"00",X"64",
X"00",X"42",X"13",X"12",X"00",X"00",X"00",X"53",
X"00",X"68",X"00",X"69",X"00",X"66",X"00",X"74",
X"00",X"00",X"00",X"61",X"00",X"41",X"43",X"00",
X"02",X"00",X"00",X"00",X"62",X"00",X"41",X"43",
X"08",X"04",X"02",X"00",X"00",X"00",X"63",X"00",
X"41",X"43",X"03",X"00",X"00",X"43",X"80",X"00",
X"00",X"00",X"64",X"00",X"41",X"43",X"10",X"07",
X"00",X"19",X"00",X"07",X"80",X"00",X"00",X"00",
X"65",X"00",X"41",X"43",X"02",X"00",X"00",X"42",
X"00",X"00",X"00",X"66",X"00",X"41",X"43",X"10",
X"06",X"00",X"19",X"00",X"06",X"00",X"00",X"00",
X"44",X"00",X"6F",X"00",X"6E",X"00",X"65",X"00",
X"00",X"00",X"A5",X"0F",X"01",X"FF",X"18",X"00",
X"FF",X"FF",X"21",X"21",X"20",X"21",X"21",X"20",
X"21",X"21",X"20",X"21",X"21",X"20",X"21",X"21",
X"20",X"21",X"21",X"FF",X"21",X"21",X"0F",X"21",
X"21",X"02",X"21",X"21",X"02",X"21",X"21",X"02",
X"21",X"21",X"FF",X"21",X"21",X"0F",X"21",X"21",
X"02",X"21",X"21",X"02",X"21",X"21",X"02",X"21",
X"21",X"21",X"21",X"21",X"21",X"21",X"21",X"21",
X"21",X"21",X"21",X"21",X"21",X"21",X"21",X"21",
X"22",X"21",X"21",X"20",X"21",X"21",X"20",X"21",
X"21",X"20",X"21",X"21",X"20",X"A5",X"0F",X"01",
X"21",X"2A",X"21",X"2A",X"21",X"01",X"21",X"0F",
X"21",X"01",X"21",X"0F",X"A5",X"05",X"30",X"E8",
X"FF",X"FF",X"E8",X"0F",X"02",X"00",X"17",X"F0",
X"FD",X"02",X"00",X"00",X"02",X"00",X"01",X"02",
X"00",X"02",X"02",X"00",X"03",X"02",X"00",X"00",
X"02",X"00",X"01",X"02",X"00",X"02",X"02",X"00",
X"03",X"02",X"00",X"00",X"02",X"00",X"01",X"02",
X"00",X"02",X"02",X"00",X"03",X"A5",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
1000,109 → 1103,6
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00"
);
 
1173,198 → 1173,6
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00"
);
signal sram0 : t_sram := (
1431,198 → 1239,6
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00"
);
 
1680,13 → 1296,12
signal sram_oe_n : std_logic;
 
-- interface cpu-cache
signal cpu_data_rd_addr : t_word;
signal cpu_data_addr : t_word;
signal cpu_data_rd_vma : std_logic;
signal cpu_data_rd : t_word;
signal cpu_code_rd_addr : t_pc;
signal cpu_code_rd : t_word;
signal cpu_code_rd_vma : std_logic;
signal cpu_data_wr_addr : t_pc;
signal cpu_data_wr : t_word;
signal cpu_byte_we : std_logic_vector(3 downto 0);
signal cpu_mem_wait : std_logic;
1734,7 → 1349,7
port map (
interrupt => '0',
data_rd_addr=> cpu_data_rd_addr,
data_addr => cpu_data_addr,
data_rd_vma => cpu_data_rd_vma,
data_rd => cpu_data_rd,
1742,7 → 1357,6
code_rd => cpu_code_rd,
code_rd_vma => cpu_code_rd_vma,
data_wr_addr=> cpu_data_wr_addr,
data_wr => cpu_data_wr,
byte_we => cpu_byte_we,
 
1752,10 → 1366,13
reset => reset
);
 
 
cache: entity work.mips_cache_stub
generic map (
BRAM_ADDR_SIZE => BRAM_ADDR_SIZE,
SRAM_ADDR_SIZE => 32 -- we need the full address to decode sram vs flash
SRAM_ADDR_SIZE => 32,-- we need the full address to decode sram vs flash
LINE_SIZE => 4,
CACHE_SIZE => 256
)
port map (
clk => clk,
1762,7 → 1379,7
reset => reset,
-- Interface to CPU core
data_rd_addr => cpu_data_rd_addr,
data_addr => cpu_data_addr,
data_rd => cpu_data_rd,
data_rd_vma => cpu_data_rd_vma,
1769,8 → 1386,7
code_rd_addr => cpu_code_rd_addr,
code_rd => cpu_code_rd,
code_rd_vma => cpu_code_rd_vma,
data_wr_addr => cpu_data_wr_addr,
 
byte_we => cpu_byte_we,
data_wr => cpu_data_wr,
1833,8 → 1449,8
end process drive_uut;
 
full_rd_addr <= cpu_data_rd_addr;
full_wr_addr <= cpu_data_wr_addr & "00";
full_rd_addr <= cpu_data_addr;
full_wr_addr <= cpu_data_addr(31 downto 2) & "00";
full_code_addr <= cpu_code_rd_addr & "00";
 
data_ram_block:
1968,7 → 1584,7
begin
log_cpu_activity(clk, reset, done,
"mips_tb2/cpu", log_info, "log_info",
X"FFFFFFFF", log_file);
X"BFC00000", log_file);
wait;
end process log_execution;
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.