OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ion
    from Rev 103 to Rev 104
    Reverse comparison

Rev 103 → Rev 104

/trunk/src/mips_tb2_template.vhdl
203,7 → 203,7
);
 
 
cache: entity work.mips_cache
cache: entity work.mips_cache_stub
generic map (
BRAM_ADDR_SIZE => BRAM_ADDR_SIZE,
SRAM_ADDR_SIZE => 32,-- we need the full address to decode sram vs flash

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.