OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ion
    from Rev 158 to Rev 159
    Reverse comparison

Rev 158 → Rev 159

/trunk/vhdl/mips_cpu.vhdl
14,9 → 14,8
--------------------------------------------------------------------------------
--### MIPS-I things not implemented
--
-- 1.- RTE instruction (or ERET) missing, with CP0.SR KUo/IEo & KUP/IEp flags.
-- 2.- Most of the R3000 CP0 registers and of course all of the CP1.
-- 3.- External interrupts missing, with CP0.SR IR, NMI and IM7..0 flags.
-- 1.- Most of the R3000 CP0 registers and of course all of the CP1.
-- 2.- External interrupts missing, with CP0.SR IR, NMI and IM7..0 flags.
--
--### Things with provisional implementation
--
36,6 → 35,12
-- Besides, see point 2 above about the missing SR bits.
--
--------------------------------------------------------------------------------
-- KNOWN BUGS:
--
-- 1.- The instruction after entering user mode (i.e. the instruction after the
-- MTC0 or RFE that clears the KU flag) is executed in kernel mode.
-- This can be easily fixed but is not very urgent.
--------------------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.