OpenCores
URL https://opencores.org/ocsvn/ion/ion/trunk

Subversion Repositories ion

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /ion
    from Rev 240 to Rev 241
    Reverse comparison

Rev 240 → Rev 241

/trunk/vhdl/SoC/bootstrap_code_pkg.vhdl
110,10 → 110,10
X"13", X"5b", X"00", X"09", X"23", X"7b", X"00", X"01",
X"13", X"5b", X"00", X"05", X"23", X"7b", X"00", X"01",
X"17", X"5b", X"00", X"07", X"00", X"00", X"00", X"00",
X"0b", X"f0", X"00", X"a2", X"00", X"00", X"00", X"00",
X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
X"40", X"1b", X"70", X"00", X"40", X"1a", X"68", X"00",
X"00", X"1a", X"d7", X"c2", X"33", X"5a", X"00", X"01",
X"17", X"40", X"00", X"03", X"23", X"7b", X"00", X"04",
284,7 → 284,7
X"26", X"10", X"00", X"00", X"12", X"00", X"00", X"07",
X"00", X"00", X"00", X"00", X"8c", X"a8", X"00", X"00",
X"24", X"a5", X"00", X"04", X"ac", X"88", X"00", X"00",
X"24", X"84", X"00", X"04", X"16", X"00", X"ff", X"fb",
X"24", X"84", X"00", X"04", X"1e", X"00", X"ff", X"fb",
X"26", X"10", X"ff", X"fc", X"0f", X"f0", X"01", X"cd",
X"00", X"00", X"00", X"00", X"0b", X"f0", X"01", X"cb",
X"00", X"00", X"00", X"00", X"3c", X"04", X"bf", X"c0",
507,10 → 507,10
X"8c", X"42", X"00", X"00", X"03", X"e0", X"00", X"08",
X"30", X"42", X"00", X"ff", X"63", X"6f", X"6d", X"70",
X"69", X"6c", X"65", X"20", X"74", X"69", X"6d", X"65",
X"3a", X"20", X"4f", X"63", X"74", X"20", X"32", X"37",
X"3a", X"20", X"4e", X"6f", X"76", X"20", X"31", X"35",
X"20", X"32", X"30", X"31", X"32", X"20", X"2d", X"2d",
X"20", X"30", X"30", X"3a", X"34", X"36", X"3a", X"30",
X"34", X"0a", X"00", X"00", X"67", X"63", X"63", X"20",
X"20", X"31", X"31", X"3a", X"35", X"37", X"3a", X"31",
X"31", X"0a", X"00", X"00", X"67", X"63", X"63", X"20",
X"76", X"65", X"72", X"73", X"69", X"6f", X"6e", X"3a",
X"20", X"20", X"34", X"2e", X"35", X"2e", X"32", X"0a",
X"00", X"00", X"00", X"00", X"0a", X"0a", X"48", X"65",
/trunk/vhdl/tb/sim_params_pkg.vhdl
60,7 → 60,7
 
-- Memory initialization data --------------------------------------------------
 
constant obj_code : t_obj_code(0 to 3678) := (
constant obj_code : t_obj_code(0 to 3679) := (
X"10", X"00", X"00", X"7c", X"00", X"00", X"00", X"00",
X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00",
114,10 → 114,10
X"13", X"5b", X"00", X"09", X"23", X"7b", X"00", X"01",
X"13", X"5b", X"00", X"05", X"23", X"7b", X"00", X"01",
X"17", X"5b", X"00", X"07", X"00", X"00", X"00", X"00",
X"0b", X"f0", X"00", X"a2", X"00", X"00", X"00", X"00",
X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
X"0b", X"f0", X"00", X"72", X"00", X"00", X"00", X"00",
X"40", X"1b", X"70", X"00", X"40", X"1a", X"68", X"00",
X"00", X"1a", X"d7", X"c2", X"33", X"5a", X"00", X"01",
X"17", X"40", X"00", X"03", X"23", X"7b", X"00", X"04",
288,7 → 288,7
X"26", X"10", X"00", X"00", X"12", X"00", X"00", X"07",
X"00", X"00", X"00", X"00", X"8c", X"a8", X"00", X"00",
X"24", X"a5", X"00", X"04", X"ac", X"88", X"00", X"00",
X"24", X"84", X"00", X"04", X"16", X"00", X"ff", X"fb",
X"24", X"84", X"00", X"04", X"1e", X"00", X"ff", X"fb",
X"26", X"10", X"ff", X"fc", X"0f", X"f0", X"01", X"cd",
X"00", X"00", X"00", X"00", X"0b", X"f0", X"01", X"cb",
X"00", X"00", X"00", X"00", X"3c", X"04", X"bf", X"c0",
509,18 → 509,19
X"00", X"00", X"00", X"00", X"30", X"42", X"00", X"02",
X"10", X"40", X"ff", X"fc", X"3c", X"02", X"20", X"00",
X"8c", X"42", X"00", X"00", X"03", X"e0", X"00", X"08",
X"00", X"02", X"16", X"02", X"63", X"6f", X"6d", X"70",
X"30", X"42", X"00", X"ff", X"63", X"6f", X"6d", X"70",
X"69", X"6c", X"65", X"20", X"74", X"69", X"6d", X"65",
X"3a", X"20", X"4a", X"75", X"6e", X"20", X"31", X"36",
X"3a", X"20", X"4e", X"6f", X"76", X"20", X"31", X"35",
X"20", X"32", X"30", X"31", X"32", X"20", X"2d", X"2d",
X"20", X"30", X"38", X"3a", X"34", X"31", X"3a", X"35",
X"38", X"0a", X"00", X"00", X"67", X"63", X"63", X"20",
X"20", X"31", X"31", X"3a", X"35", X"37", X"3a", X"31",
X"31", X"0a", X"00", X"00", X"67", X"63", X"63", X"20",
X"76", X"65", X"72", X"73", X"69", X"6f", X"6e", X"3a",
X"20", X"20", X"34", X"2e", X"35", X"2e", X"32", X"0a",
X"00", X"00", X"00", X"00", X"0a", X"0a", X"48", X"65",
X"6c", X"6c", X"6f", X"20", X"57", X"6f", X"72", X"6c",
X"64", X"21", X"0a", X"0a", X"0a", X"00", X"00", X"00",
X"28", X"6e", X"75", X"6c", X"6c", X"29", X"00" );
X"28", X"6e", X"75", X"6c", X"6c", X"29", X"00", X"00"
);
 
constant sram_init : t_obj_code(0 to 0) := (others => X"00");
constant prom_init : t_obj_code(0 to 0) := (others => X"00");

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.