URL
https://opencores.org/ocsvn/iota_pow_vhdl/iota_pow_vhdl/trunk
Subversion Repositories iota_pow_vhdl
Compare Revisions
- This comparison shows the changes necessary to convert path
/iota_pow_vhdl/trunk/vhdl_altera_de1
- from Rev 6 to Rev 7
- ↔ Reverse comparison
Rev 6 → Rev 7
/output_files/curl.sof
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/curl.vhd
1,18 → 1,27
-- IOTA Pearl Diver VHDL Port |
-- |
-- Written 2018 by Thomas Pototschnig <microengineer18@gmail.com> |
-- 2018 by Thomas Pototschnig <microengineer18@gmail.com, |
-- http://microengineer.eu |
-- discord: pmaxuw#8292 |
-- |
-- This source code is currently licensed under |
-- Attribution-NonCommercial 4.0 International (CC BY-NC 4.0) |
-- Permission is hereby granted, free of charge, to any person obtaining |
-- a copy of this software and associated documentation files (the |
-- "Software"), to deal in the Software without restriction, including |
-- without limitation the rights to use, copy, modify, merge, publish, |
-- distribute, sublicense, and/or sell copies of the Software, and to |
-- permit persons to whom the Software is furnished to do so, subject to |
-- the following conditions: |
-- |
-- http://www.microengineer.eu |
-- The above copyright notice and this permission notice shall be |
-- included in all copies or substantial portions of the Software. |
-- |
-- If you like my project please consider a donation to |
-- |
-- LLEYMHRKXWSPMGCMZFPKKTHSEMYJTNAZXSAYZGQUEXLXEEWPXUNWBFDWESOJVLHQHXOPQEYXGIRBYTLRWHMJAOSHUY |
-- |
-- As soon as donations reach 1000MIOTA, everything will become |
-- GPL and open for any use - commercial included. |
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, |
-- EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF |
-- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND |
-- NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE |
-- LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION |
-- OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION |
-- WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWAR |
|
library ieee; |
|
/de1.vhd
1,18 → 1,27
-- IOTA Pearl Diver VHDL Port |
-- |
-- Written 2018 by Thomas Pototschnig <microengineer18@gmail.com> |
-- 2018 by Thomas Pototschnig <microengineer18@gmail.com, |
-- http://microengineer.eu |
-- discord: pmaxuw#8292 |
-- |
-- This source code is currently licensed under |
-- Attribution-NonCommercial 4.0 International (CC BY-NC 4.0) |
-- Permission is hereby granted, free of charge, to any person obtaining |
-- a copy of this software and associated documentation files (the |
-- "Software"), to deal in the Software without restriction, including |
-- without limitation the rights to use, copy, modify, merge, publish, |
-- distribute, sublicense, and/or sell copies of the Software, and to |
-- permit persons to whom the Software is furnished to do so, subject to |
-- the following conditions: |
-- |
-- http://www.microengineer.eu |
-- The above copyright notice and this permission notice shall be |
-- included in all copies or substantial portions of the Software. |
-- |
-- If you like my project please consider a donation to |
-- |
-- LLEYMHRKXWSPMGCMZFPKKTHSEMYJTNAZXSAYZGQUEXLXEEWPXUNWBFDWESOJVLHQHXOPQEYXGIRBYTLRWHMJAOSHUY |
-- |
-- As soon as donations reach 1000MIOTA, everything will become |
-- GPL and open for any use - commercial included. |
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, |
-- EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF |
-- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND |
-- NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE |
-- LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION |
-- OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION |
-- WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWAR |
|
library IEEE; |
use IEEE.STD_LOGIC_1164.ALL; |
/index_table.vhd
1,19 → 1,27
-- IOTA Pearl Diver VHDL Port |
-- |
-- Written 2018 by Thomas Pototschnig <microengineer18@gmail.com> |
-- 2018 by Thomas Pototschnig <microengineer18@gmail.com, |
-- http://microengineer.eu |
-- discord: pmaxuw#8292 |
-- |
-- This source code is currently licensed under |
-- Attribution-NonCommercial 4.0 International (CC BY-NC 4.0) |
-- Permission is hereby granted, free of charge, to any person obtaining |
-- a copy of this software and associated documentation files (the |
-- "Software"), to deal in the Software without restriction, including |
-- without limitation the rights to use, copy, modify, merge, publish, |
-- distribute, sublicense, and/or sell copies of the Software, and to |
-- permit persons to whom the Software is furnished to do so, subject to |
-- the following conditions: |
-- |
-- http://www.microengineer.eu |
-- The above copyright notice and this permission notice shall be |
-- included in all copies or substantial portions of the Software. |
-- |
-- If you like my project please consider a donation to |
-- |
-- LLEYMHRKXWSPMGCMZFPKKTHSEMYJTNAZXSAYZGQUEXLXEEWPXUNWBFDWESOJVLHQHXOPQEYXGIRBYTLRWHMJAOSHUY |
-- |
-- As soon as donations reach 1000MIOTA, everything will become |
-- GPL and open for any use - commercial included. |
|
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, |
-- EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF |
-- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND |
-- NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE |
-- LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION |
-- OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION |
-- WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWAR |
library ieee; |
|
use ieee.std_logic_1164.all; |
/spi_slave.vhd
1,18 → 1,27
-- IOTA Pearl Diver VHDL Port |
-- |
-- Written 2018 by Thomas Pototschnig <microengineer18@gmail.com> |
-- 2018 by Thomas Pototschnig <microengineer18@gmail.com, |
-- http://microengineer.eu |
-- discord: pmaxuw#8292 |
-- |
-- This source code is currently licensed under |
-- Attribution-NonCommercial 4.0 International (CC BY-NC 4.0) |
-- Permission is hereby granted, free of charge, to any person obtaining |
-- a copy of this software and associated documentation files (the |
-- "Software"), to deal in the Software without restriction, including |
-- without limitation the rights to use, copy, modify, merge, publish, |
-- distribute, sublicense, and/or sell copies of the Software, and to |
-- permit persons to whom the Software is furnished to do so, subject to |
-- the following conditions: |
-- |
-- http://www.microengineer.eu |
-- The above copyright notice and this permission notice shall be |
-- included in all copies or substantial portions of the Software. |
-- |
-- If you like my project please consider a donation to |
-- |
-- LLEYMHRKXWSPMGCMZFPKKTHSEMYJTNAZXSAYZGQUEXLXEEWPXUNWBFDWESOJVLHQHXOPQEYXGIRBYTLRWHMJAOSHUY |
-- |
-- As soon as donations reach 1000MIOTA, everything will become |
-- GPL and open for any use - commercial included. |
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, |
-- EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF |
-- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND |
-- NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE |
-- LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION |
-- OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION |
-- WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWAR |
|
library ieee; |
|