OpenCores
URL https://opencores.org/ocsvn/iqcorrection/iqcorrection/trunk

Subversion Repositories iqcorrection

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /iqcorrection
    from Rev 32 to Rev 33
    Reverse comparison

Rev 32 → Rev 33

/trunk/IQGainPhaseCorrection_Configuration.vhd
0,0 → 1,14
--Configuration allows you to select
--the correct architecture to use.
 
 
 
 
configuration IntegerConfiguration of IQGainPhaseCorrection_entity is
for IQGainPhaseCorrection_arch_integer --architecture name
for DUT:IQGainPhaseCorrection --for instance_label:component_name
--use entity library_name.entity_name(arch_name);
use entity IQCorrection.IQGainPhaseCorrection_entity(IQGainPhaseCorrection_arch_integer);
end for;
end for;
end configuration IntegerConfiguration;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.