OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /iso7816_3_master
    from Rev 15 to Rev 16
    Reverse comparison

Rev 15 → Rev 16

/trunk/test/ComTxDriverTasks.v
29,7 → 29,7
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
`default_nettype none
 
`include "HexStringConversion.v"
 
//low level tasks
/trunk/test/ComDriverTasks.v
29,10 → 29,10
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
`default_nettype none
`include "ComRxDriverTasks.v"
`include "ComTxDriverTasks.v"
 
`include "ComRxDriverTasks.v"
`include "ComTxDriverTasks.v"
 
task waitEndOfRun;
begin
@(posedge COM_clk)
/trunk/sources/RxCore.v
32,7 → 32,16
`default_nettype none
`timescale 1ns / 1ps
 
module RxCore(
module RxCore
#(//parameters to override
parameter CLOCK_PER_BIT_WIDTH = 13, //allow to support default speed of ISO7816
parameter PRECISE_STOP_BIT = 0, //if 1, stopBit signal goes high exactly at start of stop bit instead of middle of parity bit
//default conventions (nothing to do with iso7816's convention, this is configured dynamically by the inputs)
parameter START_BIT = 1'b0,
parameter STOP_BIT1 = 1'b1,
parameter STOP_BIT2 = 1'b1
)
(
output reg [7:0] dataOut,
output reg overrunErrorFlag, //new data has been received before dataOut was read
output reg dataOutReadyFlag, //new data available
59,15 → 68,6
input wire [CLOCK_PER_BIT_WIDTH-1:0] bitClocksCounter
);
 
//parameters to override
parameter CLOCK_PER_BIT_WIDTH = 13; //allow to support default speed of ISO7816
parameter PRECISE_STOP_BIT = 0; //if 1, stopBit signal goes high exactly at start of stop bit instead of middle of parity bit
 
//default conventions
parameter START_BIT = 1'b0;
parameter STOP_BIT1 = 1'b1;
parameter STOP_BIT2 = 1'b1;
 
//constant definition for states
localparam IDLE_STATE = 3'b000;
localparam START_STATE = 3'b001;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.