OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /jart/branches/ver0branch
    from Rev 65 to Rev 66
    Reverse comparison

Rev 65 → Rev 66

/powerGrid.vhd
105,7 → 105,7
end component;
-- 1 x 512 x 32
component bt41
component bt11
port
(
address : in std_logic_vector (8 downto 0);
130,7 → 130,7
);
end component;
-- 4 x 512 x 32
-- 4 x 512 x 18
component bt44
port
(
143,7 → 143,7
);
end component;
-- 2 x 512 x 32
-- 2 x 512 x 18
component bt24
port
(

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.