OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /jart/branches/ver0branch
    from Rev 66 to Rev 67
    Reverse comparison

Rev 66 → Rev 67

/powerGrid.vhd
117,7 → 117,7
);
end component;
-- 8 x 512 x 32
-- 8 x 512 x 18
component bt84
port
(

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.