URL
https://opencores.org/ocsvn/jart/jart/trunk
Subversion Repositories jart
Compare Revisions
- This comparison shows the changes necessary to convert path
/jart/trunk/BLRT
- from Rev 23 to Rev 24
- ↔ Reverse comparison
Rev 23 → Rev 24
/powerGrid.vhd
144,7 → 144,7
kinput : in std_logic_vector (W-1 downto 0); |
koutput : out std_logic_vector (W-1 downto 0); |
|
sDP : out std_logic_vector (W-1 downto 0) -- Selected dot product. |
vdoutput: out std_logic_vector (W-1 downto 0) -- Selected dot product. |
); |
end component; |
|