OpenCores
URL https://opencores.org/ocsvn/jart/jart/trunk

Subversion Repositories jart

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /jart/trunk/BLRT
    from Rev 28 to Rev 29
    Reverse comparison

Rev 28 → Rev 29

/powerGrid.vhd
138,8 → 138,8
clk : in std_logic;
rst : in std_logic;
nxtRow : in std_logic; -- Controls when the sphere goes to the next Row.
nxtSphere : in std_logic; -- Controls when the sphere goes to the next Row.
pipeOn : in std_logic; -- Enables / Disables the upwarding flow.
vdinput : in std_logic_vector (W-1 downto 0);
kinput : in std_logic_vector (W-1 downto 0);
koutput : out std_logic_vector (W-1 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.