OpenCores
URL https://opencores.org/ocsvn/layer2/layer2/trunk

Subversion Repositories layer2

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /layer2/trunk/sw/bin
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/data.vhd
9,7 → 9,7
 
constant data : mem_block_t := (
0 => (
x"01", x"8C", x"00", x"38", x"00", x"A0", x"00", x"50", x"00", x"04",
x"01", x"84", x"00", x"38", x"00", x"A0", x"00", x"50", x"00", x"04",
x"2A", x"FC", x"00", x"24", x"24", x"24", x"24", x"24", x"24", x"24",
x"24", x"24", x"24", x"24", x"24", x"24", x"24", x"24", x"24", x"24",
x"24", x"24", x"24", x"24", x"24", x"24", x"24", x"24", x"24", x"24",
42,22 → 42,22
x"0B", x"03", x"03", x"F5", x"00", x"21", x"40", x"00", x"FF", x"43",
x"F7", x"03", x"08", x"00", x"05", x"00", x"04", x"21", x"08", x"00",
x"23", x"0D", x"00", x"2A", x"0C", x"23", x"21", x"21", x"01", x"00",
x"2A", x"FB", x"03", x"08", x"00", x"43", x"23", x"08", x"21", x"BC",
x"00", x"09", x"FF", x"BD", x"00", x"02", x"FF", x"BD", x"63", x"08",
x"BC", x"08", x"BC", x"14", x"08", x"15", x"FF", x"64", x"02", x"FF",
x"BC", x"25", x"02", x"00", x"BD", x"08", x"00", x"FF", x"E8", x"00",
x"02", x"FD", x"00", x"BC", x"08", x"BD", x"FF", x"C8", x"E8", x"00",
x"2A", x"FB", x"03", x"08", x"00", x"43", x"23", x"08", x"21", x"C4",
x"00", x"09", x"FF", x"C5", x"00", x"02", x"FF", x"C5", x"63", x"08",
x"C4", x"08", x"C4", x"14", x"08", x"15", x"FF", x"64", x"02", x"FF",
x"C4", x"25", x"02", x"00", x"C5", x"08", x"00", x"FF", x"E8", x"00",
x"02", x"FD", x"00", x"C4", x"08", x"C5", x"FF", x"C8", x"E8", x"00",
x"FF", x"FF", x"38", x"02", x"FA", x"00", x"20", x"E8", x"00", x"02",
x"FD", x"00", x"BD", x"00", x"03", x"FF", x"08", x"BC", x"08", x"BD",
x"BD", x"00", x"01", x"FF", x"25", x"03", x"BD", x"08", x"00", x"78",
x"00", x"BD", x"15", x"80", x"40", x"14", x"BC", x"21", x"80", x"21",
x"FD", x"00", x"C5", x"00", x"03", x"FF", x"08", x"C4", x"08", x"C5",
x"C5", x"00", x"01", x"FF", x"25", x"03", x"C5", x"08", x"00", x"78",
x"00", x"C5", x"15", x"80", x"40", x"14", x"C4", x"21", x"80", x"21",
x"00", x"00", x"FF", x"21", x"25", x"01", x"FF", x"25", x"40", x"FF",
x"E8", x"21", x"FF", x"64", x"14", x"BC", x"00", x"04", x"00", x"90",
x"00", x"BC", x"14", x"00", x"08", x"18", x"E8", x"14", x"10", x"00",
x"E8", x"21", x"FF", x"64", x"14", x"C4", x"00", x"04", x"00", x"90",
x"00", x"C4", x"14", x"00", x"08", x"18", x"E8", x"14", x"10", x"00",
x"00", x"08", x"01", x"FF", x"9B", x"01", x"FF", x"00", x"FB", x"FF",
x"14", x"10", x"08", x"18", x"D8", x"14", x"24", x"20", x"1C", x"18",
x"10", x"00", x"00", x"38", x"21", x"00", x"00", x"21", x"58", x"00",
x"EE", x"FC", x"61", x"0A", x"5B", x"08", x"55", x"00", x"9B", x"FF",
x"10", x"00", x"00", x"38", x"21", x"00", x"00", x"21", x"50", x"00",
x"EE", x"F4", x"61", x"0A", x"5B", x"08", x"55", x"00", x"9B", x"FF",
x"01", x"00", x"25", x"01", x"0D", x"4A", x"0E", x"F0", x"09", x"24",
x"38", x"25", x"11", x"25", x"23", x"EE", x"00", x"01", x"00", x"DD",
x"FF", x"57", x"E9", x"01", x"80", x"21", x"00", x"00", x"08", x"00",
66,8 → 66,8
x"76", x"01", x"64", x"2A", x"00", x"73", x"68", x"78", x"C5", x"00",
x"21", x"F4", x"A0", x"BE", x"21", x"EA", x"00", x"01", x"00", x"DC",
x"FF", x"56", x"B7", x"01", x"80", x"21", x"00", x"00", x"08", x"00",
x"EA", x"BC", x"53", x"00", x"EA", x"00", x"90", x"00", x"EA", x"BC",
x"BC", x"FC", x"04", x"24", x"EA", x"BC", x"44", x"62", x"9D", x"00",
x"EA", x"C4", x"53", x"00", x"EA", x"00", x"90", x"00", x"EA", x"C4",
x"C4", x"FC", x"04", x"24", x"EA", x"C4", x"44", x"62", x"9D", x"00",
x"21", x"E2", x"A0", x"BE", x"21", x"EA", x"00", x"9B", x"24", x"EA",
x"00", x"01", x"EA", x"14", x"03", x"EA", x"14", x"02", x"EA", x"14",
x"EA", x"14", x"05", x"EA", x"14", x"04", x"EA", x"14", x"07", x"EA",
75,13 → 75,13
x"15", x"03", x"EA", x"15", x"02", x"EA", x"15", x"EA", x"15", x"05",
x"EA", x"15", x"04", x"EA", x"15", x"07", x"EA", x"15", x"06", x"EA",
x"15", x"BE", x"21", x"EA", x"00", x"9B", x"25", x"EA", x"00", x"9B",
x"FF", x"EA", x"00", x"FF", x"00", x"C0", x"02", x"C0", x"08", x"C1",
x"FF", x"EA", x"00", x"FF", x"00", x"C8", x"02", x"C8", x"08", x"C9",
x"D0", x"28", x"00", x"24", x"20", x"1C", x"18", x"2C", x"14", x"21",
x"C4", x"FF", x"0D", x"08", x"00", x"80", x"FF", x"02", x"21", x"C0",
x"1F", x"C1", x"1D", x"00", x"27", x"00", x"F3", x"00", x"53", x"00",
x"BD", x"BC", x"80", x"40", x"21", x"80", x"21", x"21", x"40", x"21",
x"21", x"00", x"00", x"00", x"FF", x"FF", x"02", x"80", x"21", x"C0",
x"E3", x"C1", x"21", x"00", x"2C", x"21", x"24", x"28", x"20", x"1C",
x"C4", x"FF", x"0D", x"08", x"00", x"80", x"FF", x"02", x"21", x"C8",
x"1F", x"C9", x"1D", x"00", x"27", x"00", x"F3", x"00", x"53", x"00",
x"C5", x"C4", x"80", x"40", x"21", x"80", x"21", x"21", x"40", x"21",
x"21", x"00", x"00", x"00", x"FF", x"FF", x"02", x"80", x"21", x"C8",
x"E3", x"C9", x"21", x"00", x"2C", x"21", x"24", x"28", x"20", x"1C",
x"18", x"14", x"08", x"30", x"9B", x"00", x"21", x"00", x"AC", x"01",
x"E0", x"14", x"FF", x"18", x"1C", x"10", x"09", x"FF", x"21", x"21",
x"9B", x"01", x"FF", x"2B", x"FB", x"21", x"1C", x"18", x"14", x"10",
108,8 → 108,8
x"64", x"21", x"02", x"CD", x"BC", x"5B", x"C8", x"2B", x"21", x"24",
x"20", x"1C", x"18", x"14", x"10", x"07", x"21", x"61", x"28", x"E0",
x"14", x"21", x"04", x"1C", x"84", x"18", x"04", x"6F", x"FF", x"64",
x"23", x"00", x"1C", x"C2", x"02", x"21", x"43", x"10", x"1C", x"18",
x"14", x"21", x"20", x"70", x"1C", x"E0", x"18", x"21", x"04", x"14",
x"23", x"00", x"14", x"C2", x"02", x"21", x"43", x"10", x"1C", x"18",
x"14", x"21", x"20", x"70", x"14", x"E0", x"18", x"21", x"04", x"14",
x"1C", x"84", x"21", x"01", x"01", x"00", x"02", x"21", x"21", x"02",
x"01", x"03", x"23", x"FF", x"FF", x"64", x"23", x"9B", x"20", x"04",
x"BE", x"00", x"FD", x"1C", x"18", x"14", x"20", x"FF", x"E4", x"20",
126,49 → 126,49
x"FA", x"03", x"E8", x"14", x"56", x"00", x"02", x"0A", x"10", x"05",
x"68", x"14", x"00", x"08", x"18", x"14", x"D3", x"18", x"14", x"70",
x"D3", x"18", x"D8", x"20", x"00", x"24", x"10", x"1C", x"18", x"6F",
x"14", x"70", x"84", x"84", x"ED", x"50", x"84", x"40", x"44", x"10",
x"14", x"70", x"7C", x"7C", x"ED", x"50", x"7C", x"40", x"44", x"10",
x"04", x"68", x"FF", x"00", x"FF", x"FB", x"21", x"00", x"01", x"2B",
x"23", x"84", x"ED", x"58", x"42", x"84", x"40", x"44", x"10", x"01",
x"21", x"B5", x"84", x"1F", x"00", x"4E", x"40", x"42", x"21", x"40",
x"23", x"7C", x"ED", x"58", x"42", x"7C", x"40", x"44", x"10", x"01",
x"21", x"B5", x"7C", x"1F", x"00", x"4E", x"40", x"42", x"21", x"40",
x"10", x"44", x"56", x"01", x"20", x"F3", x"2B", x"24", x"20", x"1C",
x"18", x"14", x"10", x"60", x"D3", x"28", x"24", x"20", x"1C", x"18",
x"14", x"10", x"78", x"D3", x"28", x"18", x"21", x"04", x"06", x"21",
x"FF", x"3E", x"01", x"76", x"F8", x"F9", x"06", x"48", x"ED", x"84",
x"FF", x"3E", x"01", x"76", x"F8", x"F9", x"06", x"48", x"ED", x"7C",
x"02", x"6C", x"44", x"02", x"6C", x"FF", x"02", x"6C", x"FF", x"6C",
x"FF", x"1C", x"82", x"21", x"21", x"F7", x"84", x"76", x"01", x"14",
x"FF", x"1C", x"82", x"21", x"21", x"F7", x"7C", x"76", x"01", x"14",
x"00", x"68", x"00", x"21", x"3E", x"04", x"F6", x"21", x"44", x"00",
x"01", x"40", x"21", x"01", x"10", x"44", x"76", x"00", x"EE", x"00",
x"24", x"20", x"1C", x"18", x"14", x"10", x"00", x"28", x"D0", x"10",
x"00", x"2C", x"24", x"20", x"21", x"1C", x"21", x"28", x"18", x"6F",
x"14", x"70", x"6C", x"82", x"6C", x"40", x"10", x"44", x"1A", x"82",
x"00", x"21", x"00", x"21", x"31", x"84", x"01", x"11", x"04", x"46",
x"14", x"70", x"64", x"82", x"64", x"40", x"10", x"44", x"1A", x"82",
x"00", x"21", x"00", x"21", x"31", x"7C", x"01", x"11", x"04", x"46",
x"21", x"00", x"F9", x"21", x"44", x"00", x"01", x"40", x"21", x"01",
x"44", x"10", x"04", x"F1", x"00", x"2C", x"28", x"24", x"20", x"1C",
x"18", x"14", x"10", x"08", x"30", x"C8", x"18", x"4D", x"2B", x"30",
x"34", x"2C", x"28", x"24", x"20", x"1C", x"14", x"10", x"51", x"21",
x"00", x"00", x"21", x"21", x"21", x"C8", x"06", x"00", x"6F", x"BC",
x"00", x"00", x"21", x"21", x"21", x"C0", x"06", x"00", x"6F", x"B4",
x"46", x"00", x"21", x"D0", x"21", x"4C", x"01", x"FF", x"01", x"16",
x"00", x"F4", x"21", x"04", x"06", x"64", x"FF", x"80", x"21", x"D0",
x"21", x"46", x"21", x"01", x"21", x"21", x"01", x"D0", x"FF", x"ED",
x"01", x"00", x"80", x"21", x"00", x"00", x"4D", x"21", x"21", x"C8",
x"06", x"98", x"BC", x"00", x"D0", x"00", x"25", x"01", x"FF", x"FF",
x"01", x"00", x"80", x"21", x"00", x"00", x"4D", x"21", x"21", x"C0",
x"06", x"98", x"B4", x"00", x"D0", x"00", x"25", x"01", x"FF", x"FF",
x"13", x"04", x"F6", x"21", x"14", x"06", x"64", x"FF", x"21", x"D0",
x"21", x"00", x"01", x"21", x"FF", x"D0", x"FF", x"01", x"EF", x"04",
x"34", x"30", x"2C", x"28", x"24", x"20", x"1C", x"18", x"14", x"10",
x"08", x"38", x"6C", x"21", x"95", x"21", x"D8", x"24", x"20", x"1C",
x"18", x"6F", x"14", x"00", x"70", x"58", x"00", x"70", x"44", x"4B",
x"18", x"6F", x"14", x"00", x"70", x"50", x"00", x"70", x"3C", x"4B",
x"21", x"21", x"F0", x"F2", x"1B", x"97", x"00", x"01", x"00", x"0D",
x"00", x"13", x"00", x"F7", x"00", x"00", x"00", x"97", x"00", x"01",
x"00", x"F5", x"00", x"4D", x"02", x"00", x"B3", x"4B", x"21", x"CD",
x"00", x"4D", x"4B", x"21", x"CD", x"00", x"D0", x"2C", x"28", x"24",
x"20", x"1C", x"18", x"6F", x"14", x"56", x"00", x"00", x"03", x"11",
x"30", x"13", x"21", x"D3", x"80", x"39", x"00", x"2C", x"21", x"28",
x"24", x"20", x"1C", x"18", x"14", x"08", x"30", x"D3", x"88", x"01",
x"00", x"46", x"00", x"30", x"21", x"70", x"F0", x"F2", x"30", x"0D",
x"01", x"97", x"00", x"01", x"00", x"13", x"21", x"0D", x"00", x"F7",
x"00", x"1B", x"00", x"17", x"00", x"0D", x"02", x"8A", x"00", x"19",
x"00", x"62", x"18", x"19", x"00", x"6B", x"18", x"19", x"00", x"E3",
x"01", x"12", x"21", x"30", x"00", x"19", x"00", x"BA", x"00", x"C0",
x"00", x"4D", x"4B", x"21", x"CD", x"00", x"D8", x"24", x"20", x"1C",
x"18", x"14", x"6F", x"10", x"56", x"00", x"00", x"03", x"10", x"30",
x"12", x"00", x"D3", x"80", x"39", x"00", x"24", x"21", x"20", x"1C",
x"18", x"14", x"10", x"08", x"28", x"D3", x"88", x"00", x"00", x"70",
x"28", x"F0", x"F2", x"28", x"0D", x"01", x"97", x"00", x"01", x"00",
x"13", x"21", x"0D", x"00", x"F7", x"00", x"1B", x"00", x"1A", x"00",
x"0D", x"02", x"8A", x"00", x"14", x"00", x"62", x"18", x"14", x"00",
x"6B", x"18", x"14", x"00", x"E3", x"00", x"46", x"21", x"21", x"12",
x"01", x"30", x"00", x"14", x"00", x"BA", x"00", x"C0", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
175,36 → 175,36
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"D0", x"DC", x"A8", x"A8", x"A8", x"E8", x"A8", x"A8",
x"A8", x"F4", x"A8", x"FC", x"A8", x"A8", x"A8", x"A8", x"08", x"A8",
x"A8", x"A8", x"A8", x"14", x"A8", x"20", x"54", x"A8", x"A8", x"A8",
x"D0", x"DC", x"A8", x"A8", x"A8", x"E8", x"A8", x"A8", x"A8", x"F4",
x"A8", x"FC", x"A8", x"A8", x"A8", x"A8", x"08", x"A8", x"A8", x"A8",
x"A8", x"14", x"A8", x"20", x"54", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"64", x"70",
x"A8", x"A8", x"A8", x"7C", x"A8", x"A8", x"A8", x"88", x"A8", x"90",
x"A8", x"A8", x"A8", x"A8", x"9C", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"B4", x"41", x"52", x"00", x"78", x"20", x"00", x"00", x"64",
x"6F", x"61", x"20", x"32", x"00", x"72", x"2E", x"00", x"77", x"6D",
x"20", x"74", x"73", x"2E", x"00", x"6F", x"69", x"65", x"2E", x"00",
x"20", x"6F", x"00", x"73", x"65", x"79", x"00", x"20", x"64", x"00",
x"64", x"20", x"20", x"00", x"67", x"70", x"64", x"00", x"6F", x"6E",
x"61", x"2E", x"00", x"74", x"20", x"20", x"6F", x"6E", x"72", x"6D",
x"69", x"2E", x"00", x"73", x"20", x"73", x"6F", x"6E", x"2E", x"00",
x"6C", x"6F", x"72", x"20", x"73", x"6C", x"2E", x"00", x"6C", x"6F",
x"72", x"20", x"66", x"68", x"00", x"73", x"6C", x"20", x"6C", x"65",
x"00", x"67", x"69", x"65", x"65", x"61", x"6C", x"65", x"61", x"6D",
x"72", x"00", x"73", x"73", x"20", x"65", x"72", x"20", x"74", x"50",
x"73", x"65", x"72", x"00", x"73", x"73", x"74", x"61", x"20", x"68",
x"68", x"20", x"75", x"62", x"00", x"A1", x"00", x"00", x"00", x"C0",
x"BC", x"B8", x"E4", x"F0", x"0C", x"00", x"48", x"00", x"00", x"00",
x"68", x"00", x"7C", x"00", x"A4", x"00", x"C0", x"00", x"E0", x"00",
x"FC", x"00", x"14", x"00", x"40", x"00", x"70", x"05", x"00", x"B0",
x"00", x"00", x"07", x"00", x"CC", x"00", x"A4", x"0F", x"00", x"20",
x"00", x"00", x"0F", x"00", x"2C", x"00", x"00", x"06", x"00", x"3C",
x"00", x"C4", x"CC", x"06", x"00", x"58", x"00", x"DC", x"CC", others => x"00"
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"64", x"70", x"A8", x"A8",
x"A8", x"7C", x"A8", x"A8", x"A8", x"88", x"A8", x"90", x"A8", x"A8",
x"A8", x"A8", x"9C", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"B4",
x"41", x"52", x"00", x"78", x"20", x"00", x"00", x"64", x"6F", x"61",
x"20", x"32", x"00", x"72", x"2E", x"00", x"77", x"6D", x"20", x"74",
x"73", x"2E", x"00", x"6F", x"69", x"65", x"2E", x"00", x"20", x"6F",
x"00", x"73", x"65", x"79", x"00", x"20", x"64", x"00", x"64", x"20",
x"20", x"00", x"67", x"70", x"64", x"00", x"6F", x"6E", x"61", x"2E",
x"00", x"74", x"20", x"20", x"6F", x"6E", x"72", x"6D", x"69", x"2E",
x"00", x"73", x"20", x"73", x"6F", x"6E", x"2E", x"00", x"6C", x"6F",
x"72", x"20", x"73", x"6C", x"2E", x"00", x"6C", x"6F", x"72", x"20",
x"66", x"68", x"00", x"73", x"6C", x"20", x"6C", x"65", x"00", x"67",
x"69", x"65", x"65", x"61", x"6C", x"65", x"61", x"6D", x"72", x"00",
x"73", x"73", x"20", x"65", x"72", x"20", x"74", x"50", x"73", x"65",
x"72", x"00", x"73", x"73", x"74", x"61", x"20", x"68", x"68", x"20",
x"75", x"62", x"00", x"A1", x"00", x"00", x"00", x"B8", x"B4", x"B0",
x"DC", x"E8", x"04", x"00", x"40", x"00", x"00", x"00", x"60", x"00",
x"74", x"00", x"9C", x"00", x"B8", x"00", x"D8", x"00", x"F4", x"00",
x"0C", x"00", x"38", x"00", x"68", x"05", x"00", x"A8", x"00", x"00",
x"07", x"00", x"C4", x"00", x"9C", x"0F", x"00", x"18", x"00", x"00",
x"0F", x"00", x"24", x"00", x"00", x"06", x"00", x"34", x"00", x"BC",
x"C4", x"06", x"00", x"50", x"00", x"D4", x"C4", others => x"00"
),
1 => (
x"00", x"9D", x"00", x"3F", x"00", x"1E", x"00", x"1F", x"00", x"00",
359,14 → 359,14
x"00", x"00", x"00", x"FF", x"00", x"00", x"00", x"02", x"00", x"00",
x"00", x"FF", x"00", x"00", x"00", x"00", x"FF", x"05", x"20", x"05",
x"00", x"00", x"05", x"20", x"05", x"00", x"FF", x"00", x"00", x"00",
x"00", x"00", x"00", x"01", x"00", x"00", x"00", x"1E", x"1E", x"00",
x"00", x"00", x"20", x"03", x"80", x"00", x"00", x"00", x"10", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"03", x"80", x"06",
x"00", x"00", x"00", x"1E", x"A8", x"03", x"00", x"00", x"1E", x"00",
x"00", x"02", x"00", x"00", x"00", x"00", x"20", x"00", x"00", x"FF",
x"00", x"80", x"00", x"00", x"00", x"00", x"00", x"04", x"00", x"06",
x"00", x"04", x"80", x"06", x"00", x"04", x"80", x"06", x"00", x"FF",
x"00", x"05", x"28", x"00", x"00", x"06", x"00", x"05", x"00", x"09",
x"00", x"00", x"01", x"00", x"00", x"00", x"1E", x"1E", x"00", x"00",
x"00", x"00", x"03", x"80", x"00", x"00", x"00", x"10", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"03", x"80", x"06", x"00", x"03",
x"1E", x"00", x"00", x"1E", x"00", x"00", x"02", x"00", x"00", x"00",
x"00", x"20", x"00", x"00", x"FF", x"00", x"80", x"00", x"00", x"00",
x"00", x"00", x"04", x"00", x"06", x"00", x"04", x"80", x"06", x"00",
x"04", x"80", x"06", x"00", x"FF", x"00", x"00", x"20", x"28", x"05",
x"00", x"00", x"00", x"06", x"00", x"05", x"00", x"09", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
373,36 → 373,36
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"09", x"09", x"07", x"07", x"07", x"09", x"07", x"07",
x"07", x"09", x"07", x"09", x"07", x"07", x"07", x"07", x"0A", x"07",
x"07", x"07", x"07", x"0A", x"07", x"0A", x"09", x"07", x"07", x"07",
x"09", x"09", x"07", x"07", x"07", x"09", x"07", x"07", x"07", x"09",
x"07", x"09", x"07", x"07", x"07", x"07", x"0A", x"07", x"07", x"07",
x"07", x"0A", x"07", x"0A", x"09", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"09", x"09",
x"07", x"07", x"07", x"09", x"07", x"07", x"07", x"09", x"07", x"09",
x"07", x"07", x"07", x"07", x"09", x"07", x"07", x"07", x"07", x"09",
x"07", x"09", x"54", x"45", x"52", x"25", x"77", x"00", x"20", x"69",
x"6F", x"6F", x"72", x"2E", x"00", x"61", x"2E", x"00", x"65", x"65",
x"79", x"6E", x"74", x"2E", x"00", x"6C", x"20", x"67", x"2E", x"00",
x"52", x"6D", x"00", x"61", x"4D", x"72", x"00", x"52", x"61", x"00",
x"61", x"67", x"52", x"2E", x"61", x"55", x"61", x"00", x"6C", x"69",
x"64", x"20", x"00", x"69", x"67", x"72", x"63", x"69", x"74", x"73",
x"73", x"20", x"00", x"61", x"67", x"61", x"63", x"65", x"20", x"00",
x"75", x"6E", x"65", x"65", x"61", x"62", x"6B", x"00", x"75", x"6E",
x"77", x"65", x"20", x"73", x"00", x"61", x"62", x"6B", x"20", x"6B",
x"00", x"61", x"73", x"20", x"65", x"20", x"69", x"6C", x"6C", x"20",
x"6F", x"00", x"61", x"69", x"6E", x"20", x"6F", x"73", x"61", x"20",
x"61", x"72", x"61", x"00", x"61", x"69", x"6F", x"65", x"2C", x"74",
x"67", x"74", x"6F", x"20", x"00", x"B0", x"00", x"00", x"00", x"1D",
x"1D", x"1D", x"1B", x"1B", x"1C", x"00", x"1C", x"01", x"00", x"00",
x"07", x"07", x"07", x"07", x"07", x"07", x"09", x"09", x"07", x"07",
x"07", x"09", x"07", x"07", x"07", x"09", x"07", x"09", x"07", x"07",
x"07", x"07", x"09", x"07", x"07", x"07", x"07", x"09", x"07", x"09",
x"54", x"45", x"52", x"25", x"77", x"00", x"20", x"69", x"6F", x"6F",
x"72", x"2E", x"00", x"61", x"2E", x"00", x"65", x"65", x"79", x"6E",
x"74", x"2E", x"00", x"6C", x"20", x"67", x"2E", x"00", x"52", x"6D",
x"00", x"61", x"4D", x"72", x"00", x"52", x"61", x"00", x"61", x"67",
x"52", x"2E", x"61", x"55", x"61", x"00", x"6C", x"69", x"64", x"20",
x"00", x"69", x"67", x"72", x"63", x"69", x"74", x"73", x"73", x"20",
x"00", x"61", x"67", x"61", x"63", x"65", x"20", x"00", x"75", x"6E",
x"65", x"65", x"61", x"62", x"6B", x"00", x"75", x"6E", x"77", x"65",
x"20", x"73", x"00", x"61", x"62", x"6B", x"20", x"6B", x"00", x"61",
x"73", x"20", x"65", x"20", x"69", x"6C", x"6C", x"20", x"6F", x"00",
x"61", x"69", x"6E", x"20", x"6F", x"73", x"61", x"20", x"61", x"72",
x"61", x"00", x"61", x"69", x"6F", x"65", x"2C", x"74", x"67", x"74",
x"6F", x"20", x"00", x"B0", x"00", x"00", x"00", x"1D", x"1D", x"1D",
x"1B", x"1B", x"1C", x"00", x"1C", x"01", x"00", x"00", x"1C", x"00",
x"1C", x"00", x"1C", x"00", x"1C", x"00", x"1C", x"00", x"1C", x"00",
x"1C", x"00", x"1D", x"00", x"1D", x"00", x"1D", x"32", x"00", x"1B",
x"00", x"00", x"32", x"00", x"1B", x"00", x"1D", x"62", x"00", x"1C",
x"00", x"00", x"62", x"00", x"1C", x"00", x"00", x"44", x"00", x"1C",
x"00", x"1D", x"1D", x"44", x"00", x"1C", x"00", x"1D", x"1D", others => x"00"
x"1D", x"00", x"1D", x"00", x"1D", x"32", x"00", x"1B", x"00", x"00",
x"32", x"00", x"1B", x"00", x"1D", x"62", x"00", x"1C", x"00", x"00",
x"62", x"00", x"1C", x"00", x"00", x"44", x"00", x"1C", x"00", x"1D",
x"1D", x"44", x"00", x"1C", x"00", x"1D", x"1D", others => x"00"
),
2 => (
x"1C", x"9C", x"1D", x"BD", x"02", x"42", x"03", x"63", x"40", x"42",
556,15 → 556,15
x"00", x"00", x"11", x"12", x"13", x"00", x"00", x"42", x"00", x"51",
x"00", x"52", x"00", x"53", x"00", x"00", x"00", x"00", x"00", x"42",
x"00", x"51", x"00", x"02", x"40", x"00", x"10", x"00", x"00", x"00",
x"00", x"10", x"00", x"00", x"00", x"00", x"BD", x"BF", x"B5", x"B4",
x"B3", x"B2", x"B1", x"00", x"B0", x"00", x"00", x"02", x"03", x"61",
x"42", x"40", x"00", x"00", x"84", x"00", x"00", x"BF", x"00", x"B5",
x"B4", x"B3", x"B2", x"B1", x"B0", x"E0", x"BD", x"00", x"84", x"00",
x"00", x"00", x"11", x"24", x"40", x"00", x"10", x"12", x"31", x"14",
x"13", x"00", x"00", x"42", x"00", x"50", x"20", x"52", x"00", x"54",
x"00", x"82", x"00", x"53", x"00", x"40", x"03", x"00", x"00", x"00",
x"00", x"00", x"85", x"00", x"00", x"00", x"85", x"00", x"00", x"43",
x"04", x"00", x"A0", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"10", x"00", x"00", x"00", x"00", x"BD", x"BF", x"B4", x"B3",
x"B2", x"B1", x"00", x"B0", x"00", x"00", x"02", x"03", x"61", x"42",
x"40", x"11", x"00", x"84", x"00", x"00", x"BF", x"00", x"B4", x"B3",
x"B2", x"B1", x"B0", x"E0", x"BD", x"00", x"84", x"00", x"00", x"00",
x"24", x"10", x"12", x"31", x"14", x"13", x"00", x"00", x"42", x"00",
x"50", x"20", x"52", x"00", x"54", x"00", x"82", x"00", x"53", x"00",
x"40", x"03", x"00", x"00", x"00", x"00", x"00", x"85", x"00", x"00",
x"00", x"85", x"00", x"00", x"43", x"00", x"00", x"00", x"40", x"00",
x"04", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
582,25 → 582,25
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"41", x"20", x"4F", x"79", x"24", x"00", x"78", x"6F",
x"42", x"6C", x"65", x"30", x"32", x"74", x"20", x"00", x"69", x"6D",
x"72", x"6F", x"6E", x"2E", x"00", x"70", x"64", x"61", x"2E", x"00",
x"44", x"65", x"79", x"6C", x"20", x"6F", x"00", x"44", x"6F", x"00",
x"6F", x"6E", x"44", x"2E", x"6D", x"20", x"6F", x"00", x"70", x"64",
x"20", x"61", x"2E", x"61", x"6E", x"6F", x"6E", x"6D", x"20", x"6E",
x"73", x"6E", x"2E", x"72", x"6E", x"6C", x"20", x"74", x"73", x"2E",
x"6F", x"20", x"20", x"73", x"6C", x"20", x"63", x"00", x"6F", x"20",
x"20", x"74", x"6F", x"61", x"00", x"6C", x"20", x"63", x"73", x"63",
x"2E", x"6D", x"20", x"65", x"63", x"73", x"61", x"62", x"66", x"68",
x"6D", x"2E", x"6C", x"20", x"69", x"6E", x"72", x"75", x"74", x"2E",
x"65", x"20", x"74", x"2E", x"6C", x"20", x"6E", x"72", x"79", x"6C",
x"75", x"69", x"68", x"64", x"2E", x"B9", x"00", x"00", x"00", x"00",
x"41", x"20", x"4F", x"79", x"24", x"00", x"78", x"6F", x"42", x"6C",
x"65", x"30", x"32", x"74", x"20", x"00", x"69", x"6D", x"72", x"6F",
x"6E", x"2E", x"00", x"70", x"64", x"61", x"2E", x"00", x"44", x"65",
x"79", x"6C", x"20", x"6F", x"00", x"44", x"6F", x"00", x"6F", x"6E",
x"44", x"2E", x"6D", x"20", x"6F", x"00", x"70", x"64", x"20", x"61",
x"2E", x"61", x"6E", x"6F", x"6E", x"6D", x"20", x"6E", x"73", x"6E",
x"2E", x"72", x"6E", x"6C", x"20", x"74", x"73", x"2E", x"6F", x"20",
x"20", x"73", x"6C", x"20", x"63", x"00", x"6F", x"20", x"20", x"74",
x"6F", x"61", x"00", x"6C", x"20", x"63", x"73", x"63", x"2E", x"6D",
x"20", x"65", x"63", x"73", x"61", x"62", x"66", x"68", x"6D", x"2E",
x"6C", x"20", x"69", x"6E", x"72", x"75", x"74", x"2E", x"65", x"20",
x"74", x"2E", x"6C", x"20", x"6E", x"72", x"79", x"6C", x"75", x"69",
x"68", x"64", x"2E", x"B9", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"0C", x"00", x"00",
x"00", x"00", x"0C", x"00", x"00", x"00", x"00", x"11", x"00", x"00",
x"00", x"00", x"01", x"00", x"00", x"00", x"00", x"0C", x"00", x"00",
x"00", x"00", x"00", x"0C", x"00", x"00", x"00", x"00", x"00", others => x"00"
x"00", x"00", x"00", x"00", x"00", x"0C", x"00", x"00", x"00", x"00",
x"0C", x"00", x"00", x"00", x"00", x"11", x"00", x"00", x"00", x"00",
x"01", x"00", x"00", x"00", x"00", x"0C", x"00", x"00", x"00", x"00",
x"00", x"0C", x"00", x"00", x"00", x"00", x"00", others => x"00"
),
3 => (
x"3C", x"27", x"3C", x"27", x"3C", x"24", x"3C", x"24", x"AC", x"24",
755,14 → 755,14
x"00", x"10", x"00", x"14", x"00", x"0C", x"00", x"0C", x"00", x"90",
x"00", x"14", x"00", x"2E", x"14", x"00", x"26", x"0C", x"02", x"08",
x"00", x"26", x"0C", x"02", x"08", x"00", x"27", x"AF", x"AF", x"AF",
x"AF", x"AF", x"AF", x"0C", x"AF", x"0C", x"00", x"00", x"00", x"04",
x"30", x"10", x"00", x"0C", x"27", x"0C", x"00", x"8F", x"00", x"8F",
x"8F", x"8F", x"8F", x"8F", x"8F", x"03", x"27", x"0C", x"27", x"08",
x"00", x"0C", x"3C", x"26", x"00", x"0C", x"24", x"24", x"26", x"24",
x"24", x"0C", x"00", x"90", x"00", x"10", x"02", x"10", x"00", x"14",
x"00", x"93", x"00", x"10", x"00", x"14", x"24", x"0C", x"00", x"08",
x"00", x"0C", x"27", x"08", x"00", x"0C", x"27", x"08", x"00", x"14",
x"24", x"0C", x"02", x"0C", x"00", x"08", x"00", x"0C", x"00", x"00",
x"AF", x"AF", x"0C", x"AF", x"0C", x"00", x"00", x"00", x"04", x"30",
x"10", x"3C", x"0C", x"27", x"0C", x"00", x"8F", x"00", x"8F", x"8F",
x"8F", x"8F", x"8F", x"03", x"27", x"0C", x"27", x"08", x"00", x"0C",
x"26", x"24", x"24", x"26", x"24", x"24", x"0C", x"00", x"90", x"00",
x"10", x"02", x"10", x"00", x"14", x"00", x"93", x"00", x"10", x"00",
x"14", x"24", x"0C", x"00", x"08", x"00", x"0C", x"27", x"08", x"00",
x"0C", x"27", x"08", x"00", x"14", x"00", x"0C", x"00", x"00", x"0C",
x"24", x"0C", x"00", x"08", x"00", x"0C", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
780,25 → 780,25
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"46", x"4C", x"52", x"24", x"3A", x"00", x"25", x"76",
x"20", x"74", x"64", x"76", x"2E", x"53", x"74", x"2E", x"56", x"20",
x"6F", x"63", x"65", x"20", x"00", x"55", x"61", x"6D", x"20", x"00",
x"44", x"4D", x"72", x"46", x"68", x"6D", x"00", x"44", x"4C", x"00",
x"4C", x"69", x"44", x"2E", x"49", x"65", x"6C", x"00", x"55", x"61",
x"67", x"74", x"2E", x"57", x"69", x"66", x"69", x"6D", x"67", x"61",
x"69", x"6F", x"2E", x"45", x"69", x"66", x"68", x"6E", x"74", x"2E",
x"43", x"64", x"74", x"61", x"66", x"68", x"6F", x"00", x"43", x"64",
x"74", x"69", x"74", x"6C", x"2E", x"46", x"68", x"6F", x"69", x"6F",
x"64", x"49", x"65", x"7A", x"78", x"64", x"76", x"61", x"20", x"73",
x"65", x"79", x"46", x"68", x"20", x"61", x"72", x"6F", x"73", x"65",
x"6C", x"65", x"73", x"74", x"46", x"68", x"20", x"20", x"64", x"61",
x"6F", x"20", x"73", x"6C", x"65", x"12", x"07", x"01", x"03", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"02", x"00", x"00",
x"46", x"4C", x"52", x"24", x"3A", x"00", x"25", x"76", x"20", x"74",
x"64", x"76", x"2E", x"53", x"74", x"2E", x"56", x"20", x"6F", x"63",
x"65", x"20", x"00", x"55", x"61", x"6D", x"20", x"00", x"44", x"4D",
x"72", x"46", x"68", x"6D", x"00", x"44", x"4C", x"00", x"4C", x"69",
x"44", x"2E", x"49", x"65", x"6C", x"00", x"55", x"61", x"67", x"74",
x"2E", x"57", x"69", x"66", x"69", x"6D", x"67", x"61", x"69", x"6F",
x"2E", x"45", x"69", x"66", x"68", x"6E", x"74", x"2E", x"43", x"64",
x"74", x"61", x"66", x"68", x"6F", x"00", x"43", x"64", x"74", x"69",
x"74", x"6C", x"2E", x"46", x"68", x"6F", x"69", x"6F", x"64", x"49",
x"65", x"7A", x"78", x"64", x"76", x"61", x"20", x"73", x"65", x"79",
x"46", x"68", x"20", x"61", x"72", x"6F", x"73", x"65", x"6C", x"65",
x"73", x"74", x"46", x"68", x"20", x"20", x"64", x"61", x"6F", x"20",
x"73", x"6C", x"65", x"12", x"07", x"01", x"03", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"02", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"19", x"04", x"00",
x"01", x"00", x"19", x"07", x"00", x"01", x"00", x"01", x"06", x"00",
x"00", x"00", x"01", x"06", x"00", x"00", x"00", x"10", x"07", x"00",
x"02", x"00", x"00", x"10", x"07", x"00", x"02", x"00", x"00", others => x"00"
x"00", x"00", x"00", x"00", x"00", x"19", x"04", x"00", x"01", x"00",
x"19", x"07", x"00", x"01", x"00", x"01", x"06", x"00", x"00", x"00",
x"01", x"06", x"00", x"00", x"00", x"10", x"07", x"00", x"02", x"00",
x"00", x"10", x"07", x"00", x"02", x"00", x"00", others => x"00"
)
);
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.