OpenCores
URL https://opencores.org/ocsvn/layer2/layer2/trunk

Subversion Repositories layer2

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /layer2
    from Rev 3 to Rev 4
    Reverse comparison

Rev 3 → Rev 4

/trunk/sw/void/view.h
97,6 → 97,23
 
 
/******************************************************************************
* DDR Upload View *
******************************************************************************/
Message msgUploadDDR = {
ID_MESSAGE,
{0,0},
"Loading DDR ..."
};
Window wDDRUpload = {
{16, 12, 68, 6},
{WHITE, BLACK},
"DDR Load",
2,
{&msgUploadDDR, &pbUpload}
};
 
/******************************************************************************
* Memory View *
******************************************************************************/
Window wFlashMemory = {
/trunk/sw/void/main.c
35,7 → 35,7
uchar state; // Flash state.
uint size; // Image size.
uint step; // Progress bar step size.
uint cval; //
uint cval; // Current progress value.
 
// Clear screen.
cls();
114,12 → 114,12
return 0;
}
}
 
// Copy flash data to DDR2 memory.
// NOTE: Missing bytes, if binary file is not 4 bytes aligned.
for(uint i=0; i < (size / 4) /* + 1 */; i++) {
DDR_ADDRESS[i] = flash_read(i);
}
// for(uint i=0; i < (size / 4) /* + 1 */; i++) {
// DDR_ADDRESS[i] = flash_read(i);
// }
 
// Go back to main menu.
boot();
127,6 → 127,42
 
 
/******************************************************************************
* DDR Load View *
******************************************************************************/
/* Load Flash contents into DDR. */
void load() {
 
uint step; // Progress bar step size.
uint cval; // Current progress value.
cls();
 
// User Upload Menu.
drawWindow(&wDDRUpload);
 
// Upload Initialization.
pbUpload.val = 0;
drawProgressBar(&wDDRUpload, &pbUpload);
step = FLASH_BLOCK_SIZE * 2;
cval = step;
// Copy flash data to DDR2 memory.
for(uint i=0; i < FLASH_BLOCKS * FLASH_BLOCK_SIZE; i++) {
DDR_ADDRESS[i] = flash_read(i);
// Update status bar.
if(i == cval) {
pbUpload.val++;
drawProgressBar(&wUpload, &pbUpload);
cval += step;
}
}
}
 
 
/******************************************************************************
* Memory View *
******************************************************************************/
/* TODO: Cleaner generic version.
249,6 → 285,7
break;
 
case OPTION_START:
load();
start();
break;
 
/trunk/sw/bin/data.vhd
9,11 → 9,11
 
constant data : mem_block_t := (
0 => (
x"01", x"70", x"00", x"F8", x"00", x"60", x"00", x"10", x"00", x"04",
x"01", x"50", x"00", x"F8", x"00", x"60", x"00", x"10", x"00", x"04",
x"2A", x"FC", x"00", x"24", x"24", x"24", x"24", x"24", x"24", x"24",
x"24", x"24", x"24", x"24", x"24", x"24", x"24", x"24", x"24", x"24",
x"24", x"24", x"24", x"24", x"24", x"24", x"24", x"24", x"24", x"24",
x"24", x"24", x"B3", x"00", x"00", x"2C", x"00", x"00", x"00", x"08",
x"24", x"24", x"E2", x"00", x"00", x"2C", x"00", x"00", x"00", x"08",
x"00", x"00", x"70", x"00", x"00", x"08", x"FF", x"50", x"00", x"00",
x"08", x"00", x"00", x"21", x"FF", x"10", x"00", x"00", x"08", x"00",
x"00", x"80", x"FF", x"00", x"21", x"00", x"08", x"00", x"00", x"21",
42,22 → 42,22
x"0B", x"03", x"03", x"F5", x"00", x"21", x"40", x"00", x"FF", x"43",
x"F7", x"03", x"08", x"00", x"05", x"00", x"04", x"21", x"08", x"00",
x"23", x"0D", x"00", x"2A", x"0C", x"23", x"21", x"21", x"01", x"00",
x"2A", x"FB", x"03", x"08", x"00", x"43", x"23", x"08", x"21", x"98",
x"00", x"09", x"FF", x"99", x"00", x"02", x"FF", x"99", x"63", x"08",
x"98", x"08", x"98", x"14", x"08", x"15", x"FF", x"64", x"02", x"FF",
x"98", x"25", x"02", x"00", x"99", x"08", x"00", x"FF", x"E8", x"00",
x"02", x"FD", x"00", x"98", x"08", x"99", x"FF", x"C8", x"E8", x"00",
x"2A", x"FB", x"03", x"08", x"00", x"43", x"23", x"08", x"21", x"B8",
x"00", x"09", x"FF", x"B9", x"00", x"02", x"FF", x"B9", x"63", x"08",
x"B8", x"08", x"B8", x"14", x"08", x"15", x"FF", x"64", x"02", x"FF",
x"B8", x"25", x"02", x"00", x"B9", x"08", x"00", x"FF", x"E8", x"00",
x"02", x"FD", x"00", x"B8", x"08", x"B9", x"FF", x"C8", x"E8", x"00",
x"FF", x"FF", x"38", x"02", x"FA", x"00", x"20", x"E8", x"00", x"02",
x"FD", x"00", x"99", x"00", x"03", x"FF", x"08", x"98", x"08", x"99",
x"99", x"00", x"01", x"FF", x"25", x"03", x"99", x"08", x"00", x"78",
x"00", x"99", x"15", x"80", x"40", x"14", x"98", x"21", x"80", x"21",
x"FD", x"00", x"B9", x"00", x"03", x"FF", x"08", x"B8", x"08", x"B9",
x"B9", x"00", x"01", x"FF", x"25", x"03", x"B9", x"08", x"00", x"78",
x"00", x"B9", x"15", x"80", x"40", x"14", x"B8", x"21", x"80", x"21",
x"00", x"00", x"FF", x"21", x"25", x"01", x"FF", x"25", x"40", x"FF",
x"E8", x"21", x"FF", x"64", x"14", x"98", x"00", x"04", x"00", x"90",
x"00", x"98", x"14", x"00", x"08", x"18", x"E8", x"14", x"10", x"00",
x"E8", x"21", x"FF", x"64", x"14", x"B8", x"00", x"04", x"00", x"90",
x"00", x"B8", x"14", x"00", x"08", x"18", x"E8", x"14", x"10", x"00",
x"00", x"08", x"01", x"FF", x"9B", x"01", x"FF", x"00", x"FB", x"FF",
x"14", x"10", x"08", x"18", x"D8", x"14", x"24", x"20", x"1C", x"18",
x"10", x"00", x"00", x"38", x"21", x"00", x"00", x"21", x"58", x"00",
x"EE", x"FC", x"61", x"0A", x"5B", x"08", x"55", x"00", x"9B", x"FF",
x"10", x"00", x"00", x"38", x"21", x"00", x"00", x"21", x"1C", x"00",
x"EE", x"C0", x"61", x"0A", x"5B", x"08", x"55", x"00", x"9B", x"FF",
x"01", x"00", x"25", x"01", x"0D", x"4A", x"0E", x"F0", x"09", x"24",
x"38", x"25", x"11", x"25", x"23", x"EE", x"00", x"01", x"00", x"DD",
x"FF", x"57", x"E9", x"01", x"80", x"21", x"00", x"00", x"08", x"00",
66,8 → 66,8
x"76", x"01", x"64", x"2A", x"00", x"73", x"68", x"78", x"C5", x"00",
x"21", x"F4", x"60", x"BE", x"21", x"EA", x"00", x"01", x"00", x"DC",
x"FF", x"56", x"B7", x"01", x"80", x"21", x"00", x"00", x"08", x"00",
x"EA", x"98", x"53", x"00", x"EA", x"00", x"90", x"00", x"EA", x"98",
x"98", x"FC", x"04", x"24", x"EA", x"98", x"44", x"62", x"9D", x"00",
x"EA", x"B8", x"53", x"00", x"EA", x"00", x"90", x"00", x"EA", x"B8",
x"B8", x"FC", x"04", x"24", x"EA", x"B8", x"44", x"62", x"9D", x"00",
x"21", x"E2", x"60", x"BE", x"21", x"EA", x"00", x"9B", x"24", x"EA",
x"00", x"01", x"EA", x"14", x"03", x"EA", x"14", x"02", x"EA", x"14",
x"EA", x"14", x"05", x"EA", x"14", x"04", x"EA", x"14", x"07", x"EA",
75,13 → 75,13
x"15", x"03", x"EA", x"15", x"02", x"EA", x"15", x"EA", x"15", x"05",
x"EA", x"15", x"04", x"EA", x"15", x"07", x"EA", x"15", x"06", x"EA",
x"15", x"BE", x"21", x"EA", x"00", x"9B", x"25", x"EA", x"00", x"9B",
x"FF", x"EA", x"00", x"FF", x"00", x"9C", x"02", x"9C", x"08", x"9D",
x"FF", x"EA", x"00", x"FF", x"00", x"BC", x"02", x"BC", x"08", x"BD",
x"D0", x"28", x"00", x"24", x"20", x"1C", x"18", x"2C", x"14", x"21",
x"84", x"FF", x"0D", x"08", x"00", x"80", x"FF", x"02", x"21", x"9C",
x"1F", x"9D", x"1D", x"00", x"27", x"00", x"F3", x"00", x"53", x"00",
x"99", x"98", x"80", x"40", x"21", x"80", x"21", x"21", x"40", x"21",
x"21", x"00", x"00", x"00", x"FF", x"FF", x"02", x"80", x"21", x"9C",
x"E3", x"9D", x"21", x"00", x"2C", x"21", x"24", x"28", x"20", x"1C",
x"84", x"FF", x"0D", x"08", x"00", x"80", x"FF", x"02", x"21", x"BC",
x"1F", x"BD", x"1D", x"00", x"27", x"00", x"F3", x"00", x"53", x"00",
x"B9", x"B8", x"80", x"40", x"21", x"80", x"21", x"21", x"40", x"21",
x"21", x"00", x"00", x"00", x"FF", x"FF", x"02", x"80", x"21", x"BC",
x"E3", x"BD", x"21", x"00", x"2C", x"21", x"24", x"28", x"20", x"1C",
x"18", x"14", x"08", x"30", x"9B", x"00", x"21", x"00", x"AC", x"01",
x"E0", x"14", x"FF", x"18", x"1C", x"10", x"09", x"FF", x"21", x"21",
x"9B", x"01", x"FF", x"2B", x"FB", x"21", x"1C", x"18", x"14", x"10",
108,8 → 108,8
x"64", x"21", x"02", x"CD", x"BC", x"5B", x"C8", x"2B", x"21", x"24",
x"20", x"1C", x"18", x"14", x"10", x"07", x"21", x"61", x"28", x"E0",
x"14", x"21", x"04", x"1C", x"84", x"18", x"04", x"6F", x"FF", x"64",
x"23", x"00", x"F8", x"C2", x"02", x"21", x"43", x"10", x"1C", x"18",
x"14", x"21", x"20", x"70", x"F8", x"E0", x"18", x"21", x"04", x"14",
x"23", x"00", x"E0", x"C2", x"02", x"21", x"43", x"10", x"1C", x"18",
x"14", x"21", x"20", x"70", x"E0", x"E0", x"18", x"21", x"04", x"14",
x"1C", x"84", x"21", x"01", x"01", x"00", x"02", x"21", x"21", x"02",
x"01", x"03", x"23", x"FF", x"FF", x"64", x"23", x"9B", x"20", x"04",
x"BE", x"00", x"FD", x"1C", x"18", x"14", x"20", x"FF", x"E4", x"20",
124,83 → 124,89
x"3E", x"FF", x"04", x"03", x"FF", x"03", x"01", x"FA", x"03", x"FA",
x"03", x"03", x"00", x"03", x"FF", x"FA", x"03", x"04", x"00", x"FF",
x"FA", x"03", x"D8", x"18", x"00", x"24", x"10", x"20", x"1C", x"6F",
x"14", x"70", x"48", x"48", x"ED", x"48", x"48", x"38", x"3C", x"10",
x"14", x"70", x"48", x"48", x"ED", x"50", x"48", x"40", x"44", x"10",
x"04", x"68", x"FF", x"00", x"FF", x"FB", x"21", x"00", x"01", x"2B",
x"24", x"00", x"48", x"ED", x"50", x"42", x"48", x"38", x"3C", x"10",
x"24", x"00", x"48", x"ED", x"58", x"42", x"48", x"40", x"44", x"10",
x"01", x"21", x"A2", x"48", x"1F", x"00", x"4E", x"40", x"42", x"21",
x"38", x"10", x"3C", x"56", x"01", x"20", x"F3", x"2B", x"24", x"20",
x"1C", x"18", x"14", x"10", x"58", x"D3", x"28", x"24", x"20", x"1C",
x"18", x"14", x"10", x"70", x"D3", x"28", x"6C", x"02", x"02", x"6C",
x"FF", x"02", x"6C", x"FF", x"6C", x"FF", x"21", x"ED", x"40", x"34",
x"3C", x"82", x"21", x"DC", x"21", x"56", x"01", x"02", x"18", x"10",
x"31", x"60", x"1D", x"00", x"68", x"00", x"21", x"3E", x"21", x"F1",
x"21", x"3C", x"00", x"01", x"38", x"10", x"3C", x"56", x"21", x"02",
x"10", x"EA", x"01", x"24", x"20", x"1C", x"18", x"14", x"10", x"68",
x"D3", x"28", x"82", x"08", x"00", x"21", x"46", x"21", x"01", x"00",
x"FB", x"04", x"24", x"20", x"1C", x"18", x"14", x"10", x"00", x"28",
x"24", x"20", x"1C", x"18", x"14", x"10", x"D3", x"28", x"C8", x"18",
x"4D", x"2B", x"30", x"34", x"2C", x"28", x"24", x"20", x"1C", x"14",
x"10", x"51", x"21", x"00", x"00", x"21", x"21", x"21", x"C8", x"06",
x"00", x"36", x"BC", x"46", x"00", x"21", x"D0", x"21", x"4C", x"01",
x"FF", x"01", x"16", x"00", x"F4", x"21", x"04", x"06", x"64", x"FF",
x"80", x"21", x"D0", x"21", x"46", x"21", x"01", x"21", x"21", x"01",
x"D0", x"FF", x"ED", x"01", x"00", x"80", x"21", x"00", x"00", x"4D",
x"21", x"21", x"C8", x"06", x"5F", x"BC", x"00", x"D0", x"00", x"25",
x"01", x"FF", x"FF", x"13", x"04", x"F6", x"21", x"14", x"06", x"64",
x"FF", x"21", x"D0", x"21", x"00", x"01", x"21", x"FF", x"D0", x"FF",
x"01", x"EF", x"04", x"34", x"30", x"2C", x"28", x"24", x"20", x"1C",
x"18", x"14", x"10", x"08", x"38", x"33", x"21", x"5C", x"21", x"D8",
x"24", x"20", x"1C", x"18", x"6F", x"14", x"00", x"70", x"34", x"00",
x"70", x"20", x"12", x"21", x"21", x"F0", x"F2", x"1B", x"97", x"00",
x"01", x"00", x"0D", x"00", x"13", x"00", x"F7", x"00", x"00", x"00",
x"97", x"00", x"01", x"00", x"F5", x"00", x"4D", x"02", x"00", x"B3",
x"12", x"21", x"94", x"00", x"4D", x"12", x"21", x"94", x"00", x"D8",
x"24", x"20", x"1C", x"18", x"14", x"6F", x"10", x"56", x"00", x"00",
x"03", x"10", x"30", x"12", x"00", x"D3", x"78", x"39", x"00", x"24",
x"21", x"20", x"1C", x"18", x"14", x"10", x"08", x"28", x"D3", x"80",
x"C7", x"00", x"70", x"0C", x"F0", x"F2", x"0C", x"0D", x"01", x"97",
x"00", x"01", x"00", x"13", x"21", x"0D", x"00", x"F7", x"00", x"1B",
x"00", x"15", x"00", x"0D", x"02", x"76", x"00", x"DB", x"00", x"62",
x"18", x"DB", x"00", x"6B", x"18", x"DB", x"00", x"E3", x"00", x"30",
x"00", x"DB", x"00", x"81", x"00", x"C0", x"A8", x"A8", x"A8", x"A8",
x"40", x"10", x"44", x"56", x"01", x"20", x"F3", x"2B", x"24", x"20",
x"1C", x"18", x"14", x"10", x"60", x"D3", x"28", x"24", x"20", x"1C",
x"18", x"14", x"10", x"78", x"D3", x"28", x"6C", x"02", x"02", x"6C",
x"FF", x"02", x"6C", x"FF", x"6C", x"FF", x"21", x"ED", x"48", x"2A",
x"44", x"82", x"21", x"DC", x"21", x"56", x"01", x"02", x"18", x"10",
x"27", x"68", x"1D", x"00", x"68", x"00", x"21", x"3E", x"21", x"F1",
x"21", x"44", x"00", x"01", x"40", x"10", x"44", x"56", x"21", x"02",
x"10", x"EA", x"01", x"24", x"20", x"1C", x"18", x"14", x"10", x"70",
x"D3", x"28", x"24", x"20", x"1C", x"18", x"14", x"10", x"00", x"28",
x"24", x"20", x"1C", x"18", x"14", x"10", x"D3", x"28", x"D0", x"14",
x"00", x"2C", x"28", x"24", x"20", x"1C", x"6F", x"18", x"70", x"30",
x"30", x"40", x"44", x"10", x"21", x"46", x"21", x"00", x"00", x"01",
x"00", x"00", x"04", x"04", x"48", x"04", x"0A", x"21", x"46", x"00",
x"00", x"0E", x"21", x"04", x"01", x"F8", x"21", x"2C", x"28", x"24",
x"20", x"1C", x"18", x"14", x"08", x"30", x"44", x"00", x"01", x"40",
x"44", x"10", x"21", x"2C", x"04", x"C8", x"18", x"4D", x"2B", x"30",
x"34", x"2C", x"28", x"24", x"20", x"1C", x"14", x"10", x"51", x"21",
x"00", x"00", x"21", x"21", x"21", x"8C", x"06", x"00", x"65", x"80",
x"46", x"00", x"21", x"D0", x"21", x"4C", x"01", x"FF", x"01", x"16",
x"00", x"F4", x"21", x"04", x"06", x"64", x"FF", x"80", x"21", x"D0",
x"21", x"46", x"21", x"01", x"21", x"21", x"01", x"D0", x"FF", x"ED",
x"01", x"00", x"80", x"21", x"00", x"00", x"4D", x"21", x"21", x"8C",
x"06", x"8E", x"80", x"00", x"D0", x"00", x"25", x"01", x"FF", x"FF",
x"13", x"04", x"F6", x"21", x"14", x"06", x"64", x"FF", x"21", x"D0",
x"21", x"00", x"01", x"21", x"FF", x"D0", x"FF", x"01", x"EF", x"04",
x"34", x"30", x"2C", x"28", x"24", x"20", x"1C", x"18", x"14", x"10",
x"08", x"38", x"62", x"21", x"8B", x"21", x"D8", x"24", x"20", x"1C",
x"18", x"6F", x"14", x"00", x"70", x"1C", x"00", x"70", x"08", x"41",
x"21", x"21", x"F0", x"F2", x"1B", x"97", x"00", x"01", x"00", x"0D",
x"00", x"13", x"00", x"F7", x"00", x"00", x"00", x"97", x"00", x"01",
x"00", x"F5", x"00", x"4D", x"02", x"00", x"B3", x"41", x"21", x"C3",
x"00", x"4D", x"41", x"21", x"C3", x"00", x"D8", x"24", x"20", x"1C",
x"18", x"14", x"6F", x"10", x"56", x"00", x"00", x"03", x"10", x"30",
x"12", x"00", x"D3", x"80", x"39", x"00", x"24", x"21", x"20", x"1C",
x"18", x"14", x"10", x"08", x"28", x"D3", x"88", x"F6", x"00", x"70",
x"F4", x"F0", x"F2", x"F4", x"0D", x"01", x"97", x"00", x"01", x"00",
x"13", x"21", x"0D", x"00", x"F7", x"00", x"1B", x"00", x"17", x"00",
x"0D", x"02", x"76", x"00", x"0A", x"00", x"62", x"18", x"0A", x"00",
x"6B", x"18", x"0A", x"00", x"E3", x"00", x"08", x"00", x"30", x"00",
x"0A", x"00", x"B0", x"00", x"C0", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"D0", x"DC",
x"A8", x"A8", x"A8", x"E8", x"A8", x"A8", x"A8", x"F4", x"A8", x"FC",
x"A8", x"A8", x"A8", x"A8", x"08", x"A8", x"A8", x"A8", x"A8", x"14",
x"A8", x"20", x"54", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"D0", x"DC", x"A8",
x"A8", x"A8", x"E8", x"A8", x"A8", x"A8", x"F4", x"A8", x"FC", x"A8",
x"A8", x"A8", x"A8", x"08", x"A8", x"A8", x"A8", x"A8", x"14", x"A8",
x"20", x"54", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8",
x"A8", x"A8", x"A8", x"A8", x"64", x"70", x"A8", x"A8", x"A8", x"7C",
x"A8", x"A8", x"A8", x"88", x"A8", x"90", x"A8", x"A8", x"A8", x"A8",
x"9C", x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"B4", x"41", x"52",
x"00", x"78", x"20", x"00", x"00", x"64", x"6F", x"61", x"20", x"32",
x"00", x"72", x"2E", x"00", x"77", x"6D", x"20", x"74", x"73", x"2E",
x"00", x"6F", x"69", x"65", x"2E", x"00", x"20", x"6F", x"00", x"73",
x"65", x"79", x"00", x"67", x"70", x"64", x"00", x"6F", x"6E", x"61",
x"2E", x"00", x"74", x"20", x"20", x"6F", x"6E", x"72", x"6D", x"69",
x"2E", x"00", x"73", x"20", x"73", x"6F", x"6E", x"2E", x"00", x"6C",
x"6F", x"72", x"20", x"73", x"6C", x"2E", x"00", x"6C", x"6F", x"72",
x"20", x"66", x"68", x"00", x"73", x"6C", x"20", x"6C", x"65", x"00",
x"67", x"69", x"65", x"65", x"61", x"6C", x"65", x"61", x"6D", x"72",
x"00", x"73", x"73", x"20", x"65", x"72", x"20", x"74", x"50", x"73",
x"65", x"72", x"00", x"73", x"73", x"74", x"61", x"20", x"68", x"68",
x"20", x"75", x"62", x"00", x"A1", x"00", x"00", x"00", x"A4", x"A0",
x"9C", x"E4", x"F0", x"0C", x"00", x"00", x"00", x"4C", x"00", x"60",
x"00", x"88", x"00", x"A4", x"00", x"C4", x"00", x"E0", x"00", x"F8",
x"00", x"24", x"00", x"54", x"05", x"00", x"B0", x"00", x"00", x"07",
x"00", x"CC", x"00", x"88", x"0F", x"00", x"20", x"00", x"00", x"0F",
x"00", x"2C", x"00", x"00", x"06", x"00", x"3C", x"00", x"B8", x"A8",
others => x"00"
x"A8", x"A8", x"A8", x"64", x"70", x"A8", x"A8", x"A8", x"7C", x"A8",
x"A8", x"A8", x"88", x"A8", x"90", x"A8", x"A8", x"A8", x"A8", x"9C",
x"A8", x"A8", x"A8", x"A8", x"A8", x"A8", x"B4", x"41", x"52", x"00",
x"78", x"20", x"00", x"00", x"64", x"6F", x"61", x"20", x"32", x"00",
x"72", x"2E", x"00", x"77", x"6D", x"20", x"74", x"73", x"2E", x"00",
x"6F", x"69", x"65", x"2E", x"00", x"20", x"6F", x"00", x"73", x"65",
x"79", x"00", x"20", x"64", x"00", x"64", x"20", x"20", x"00", x"67",
x"70", x"64", x"00", x"6F", x"6E", x"61", x"2E", x"00", x"74", x"20",
x"20", x"6F", x"6E", x"72", x"6D", x"69", x"2E", x"00", x"73", x"20",
x"73", x"6F", x"6E", x"2E", x"00", x"6C", x"6F", x"72", x"20", x"73",
x"6C", x"2E", x"00", x"6C", x"6F", x"72", x"20", x"66", x"68", x"00",
x"73", x"6C", x"20", x"6C", x"65", x"00", x"67", x"69", x"65", x"65",
x"61", x"6C", x"65", x"61", x"6D", x"72", x"00", x"73", x"73", x"20",
x"65", x"72", x"20", x"74", x"50", x"73", x"65", x"72", x"00", x"73",
x"73", x"74", x"61", x"20", x"68", x"68", x"20", x"75", x"62", x"00",
x"A1", x"00", x"00", x"00", x"84", x"80", x"7C", x"A8", x"B4", x"D0",
x"00", x"0C", x"00", x"00", x"00", x"2C", x"00", x"40", x"00", x"68",
x"00", x"84", x"00", x"A4", x"00", x"C0", x"00", x"D8", x"00", x"04",
x"00", x"34", x"05", x"00", x"74", x"00", x"00", x"07", x"00", x"90",
x"00", x"68", x"0F", x"00", x"E4", x"00", x"00", x"0F", x"00", x"F0",
x"00", x"00", x"06", x"00", x"00", x"00", x"88", x"90", x"06", x"00",
x"1C", x"00", x"A0", x"90", others => x"00"
),
1 => (
x"00", x"9C", x"00", x"3D", x"00", x"1D", x"00", x"1E", x"00", x"00",
x"00", x"9D", x"00", x"3E", x"00", x"1E", x"00", x"1F", x"00", x"00",
x"08", x"FF", x"00", x"08", x"10", x"18", x"20", x"28", x"30", x"38",
x"40", x"48", x"50", x"58", x"60", x"68", x"70", x"78", x"80", x"88",
x"90", x"98", x"A0", x"A8", x"B0", x"B8", x"C0", x"C8", x"D0", x"D8",
247,8 → 253,8
x"00", x"81", x"00", x"00", x"00", x"00", x"FF", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"01", x"00", x"FF", x"00", x"FF", x"00",
x"00", x"00", x"00", x"00", x"FF", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"88", x"00", x"00", x"80", x"19", x"00",
x"01", x"17", x"00", x"00", x"00", x"00", x"00", x"00", x"01", x"00",
x"00", x"00", x"00", x"00", x"88", x"00", x"00", x"80", x"1A", x"00",
x"01", x"18", x"00", x"00", x"00", x"00", x"00", x"00", x"01", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"FF", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"FF", x"00", x"00", x"00", x"FF",
x"00", x"00", x"FF", x"00", x"10", x"10", x"00", x"00", x"00", x"00",
255,11 → 261,11
x"00", x"00", x"FF", x"00", x"01", x"00", x"00", x"00", x"FF", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"FF", x"00",
x"20", x"00", x"1D", x"01", x"20", x"01", x"00", x"00", x"00", x"FF",
x"20", x"00", x"1E", x"01", x"20", x"01", x"00", x"00", x"00", x"FF",
x"00", x"00", x"FF", x"00", x"10", x"10", x"00", x"00", x"00", x"00",
x"01", x"81", x"01", x"00", x"01", x"00", x"01", x"00", x"01", x"81",
x"81", x"FF", x"00", x"10", x"01", x"81", x"00", x"00", x"FF", x"00",
x"20", x"00", x"1D", x"01", x"20", x"01", x"00", x"01", x"00", x"01",
x"20", x"00", x"1E", x"01", x"20", x"01", x"00", x"01", x"00", x"01",
x"00", x"00", x"01", x"80", x"00", x"01", x"80", x"00", x"01", x"80",
x"01", x"80", x"00", x"01", x"80", x"00", x"01", x"80", x"00", x"01",
x"80", x"00", x"01", x"80", x"01", x"00", x"01", x"00", x"00", x"01",
268,7 → 274,7
x"80", x"01", x"20", x"01", x"00", x"01", x"00", x"01", x"00", x"01",
x"00", x"01", x"00", x"FF", x"30", x"81", x"21", x"81", x"00", x"81",
x"FF", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"88",
x"1D", x"FF", x"00", x"00", x"30", x"00", x"00", x"11", x"20", x"81",
x"1E", x"FF", x"00", x"00", x"30", x"00", x"00", x"11", x"20", x"81",
x"00", x"81", x"00", x"00", x"00", x"00", x"FF", x"00", x"01", x"00",
x"81", x"81", x"29", x"21", x"20", x"10", x"10", x"10", x"10", x"18",
x"10", x"00", x"00", x"30", x"FF", x"00", x"11", x"00", x"20", x"81",
299,8 → 305,8
x"01", x"28", x"00", x"00", x"00", x"03", x"00", x"04", x"20", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"28", x"01", x"00", x"FF",
x"00", x"80", x"00", x"00", x"00", x"00", x"00", x"01", x"00", x"00",
x"28", x"00", x"1C", x"27", x"00", x"28", x"28", x"00", x"00", x"00",
x"00", x"20", x"00", x"03", x"1C", x"FF", x"00", x"88", x"00", x"00",
x"28", x"00", x"1D", x"27", x"00", x"28", x"28", x"00", x"00", x"00",
x"00", x"20", x"00", x"03", x"1D", x"FF", x"00", x"88", x"00", x"00",
x"00", x"00", x"80", x"00", x"00", x"00", x"00", x"20", x"28", x"00",
x"00", x"00", x"88", x"00", x"00", x"01", x"88", x"01", x"00", x"00",
x"01", x"00", x"FF", x"00", x"00", x"00", x"00", x"00", x"02", x"00",
315,10 → 321,10
x"04", x"00", x"00", x"00", x"FF", x"00", x"00", x"02", x"00", x"02",
x"00", x"00", x"00", x"00", x"FF", x"02", x"00", x"00", x"00", x"FF",
x"02", x"00", x"FF", x"00", x"00", x"00", x"00", x"00", x"00", x"01",
x"00", x"03", x"1D", x"1D", x"03", x"80", x"1D", x"80", x"80", x"04",
x"00", x"03", x"1E", x"1E", x"03", x"80", x"1E", x"80", x"80", x"04",
x"00", x"00", x"FF", x"8A", x"00", x"FF", x"88", x"01", x"00", x"10",
x"00", x"00", x"1D", x"03", x"80", x"9C", x"1D", x"80", x"80", x"04",
x"00", x"80", x"04", x"1D", x"00", x"00", x"00", x"24", x"10", x"20",
x"00", x"00", x"1E", x"03", x"80", x"9C", x"1E", x"80", x"80", x"04",
x"00", x"80", x"04", x"1E", x"00", x"00", x"00", x"24", x"10", x"20",
x"80", x"04", x"80", x"00", x"00", x"00", x"FF", x"18", x"00", x"00",
x"00", x"00", x"00", x"00", x"80", x"03", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"80", x"03", x"00", x"00", x"26", x"24", x"00",
327,68 → 333,74
x"00", x"80", x"00", x"00", x"00", x"00", x"28", x"00", x"20", x"FF",
x"20", x"80", x"00", x"00", x"80", x"04", x"80", x"00", x"98", x"00",
x"00", x"FF", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"80",
x"03", x"00", x"80", x"00", x"20", x"88", x"00", x"20", x"00", x"00",
x"FF", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"03", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"03", x"00", x"FF", x"00",
x"00", x"10", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"F0", x"00", x"00", x"80", x"A0", x"88", x"1A", x"00",
x"10", x"05", x"1A", x"00", x"00", x"28", x"01", x"20", x"00", x"00",
x"00", x"00", x"00", x"20", x"FF", x"20", x"00", x"00", x"01", x"00",
x"28", x"28", x"01", x"20", x"00", x"20", x"00", x"20", x"28", x"00",
x"01", x"00", x"FF", x"00", x"20", x"F0", x"F0", x"00", x"00", x"00",
x"98", x"80", x"1A", x"00", x"05", x"1A", x"00", x"01", x"00", x"00",
x"00", x"00", x"FF", x"00", x"00", x"FF", x"20", x"00", x"00", x"01",
x"00", x"28", x"01", x"20", x"00", x"00", x"20", x"FF", x"01", x"00",
x"00", x"FF", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"05", x"88", x"05", x"80", x"FF",
x"00", x"00", x"00", x"00", x"01", x"00", x"00", x"03", x"1D", x"00",
x"03", x"1D", x"05", x"20", x"80", x"00", x"00", x"00", x"02", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"FF", x"00", x"00", x"00",
x"02", x"00", x"00", x"00", x"FF", x"00", x"00", x"00", x"00", x"FF",
x"05", x"20", x"05", x"00", x"00", x"05", x"20", x"05", x"00", x"FF",
x"00", x"00", x"00", x"00", x"00", x"01", x"00", x"00", x"00", x"1E",
x"1E", x"00", x"00", x"00", x"00", x"03", x"80", x"00", x"00", x"00",
x"10", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"03", x"80",
x"05", x"00", x"03", x"1D", x"00", x"00", x"1D", x"00", x"00", x"02",
x"00", x"00", x"00", x"00", x"20", x"00", x"00", x"FF", x"00", x"80",
x"00", x"00", x"00", x"00", x"00", x"04", x"00", x"05", x"00", x"04",
x"80", x"05", x"00", x"04", x"80", x"05", x"00", x"FF", x"00", x"00",
x"00", x"05", x"00", x"05", x"00", x"09", x"07", x"07", x"07", x"07",
x"00", x"00", x"00", x"00", x"00", x"00", x"01", x"00", x"03", x"1E",
x"1E", x"80", x"80", x"04", x"80", x"00", x"20", x"20", x"00", x"00",
x"01", x"00", x"00", x"00", x"1E", x"00", x"00", x"20", x"00", x"00",
x"00", x"00", x"20", x"00", x"00", x"FF", x"20", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"80", x"00", x"00", x"80",
x"80", x"04", x"90", x"05", x"00", x"FF", x"00", x"00", x"10", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"F0",
x"00", x"00", x"80", x"A0", x"88", x"1B", x"00", x"10", x"05", x"1B",
x"00", x"00", x"28", x"01", x"20", x"00", x"00", x"00", x"00", x"00",
x"20", x"FF", x"20", x"00", x"00", x"01", x"00", x"28", x"28", x"01",
x"20", x"00", x"20", x"00", x"20", x"28", x"00", x"01", x"00", x"FF",
x"00", x"20", x"F0", x"F0", x"00", x"00", x"00", x"98", x"80", x"1B",
x"00", x"05", x"1B", x"00", x"01", x"00", x"00", x"00", x"00", x"FF",
x"00", x"00", x"FF", x"20", x"00", x"00", x"01", x"00", x"28", x"01",
x"20", x"00", x"00", x"20", x"FF", x"01", x"00", x"00", x"FF", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"05", x"88", x"05", x"80", x"FF", x"00", x"00", x"00",
x"00", x"01", x"00", x"00", x"03", x"1E", x"00", x"03", x"1E", x"05",
x"20", x"80", x"00", x"00", x"00", x"02", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"FF", x"00", x"00", x"00", x"02", x"00", x"00",
x"00", x"FF", x"00", x"00", x"00", x"00", x"FF", x"05", x"20", x"05",
x"00", x"00", x"05", x"20", x"05", x"00", x"FF", x"00", x"00", x"00",
x"00", x"00", x"01", x"00", x"00", x"00", x"1E", x"1E", x"00", x"00",
x"00", x"00", x"03", x"80", x"00", x"00", x"00", x"10", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"03", x"80", x"05", x"00", x"03",
x"1D", x"00", x"00", x"1D", x"00", x"00", x"02", x"00", x"00", x"00",
x"00", x"20", x"00", x"00", x"FF", x"00", x"80", x"00", x"00", x"00",
x"00", x"00", x"04", x"00", x"06", x"00", x"04", x"80", x"06", x"00",
x"04", x"80", x"06", x"00", x"FF", x"00", x"05", x"00", x"00", x"00",
x"06", x"00", x"05", x"00", x"09", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"09", x"09",
x"07", x"07", x"07", x"09", x"07", x"07", x"07", x"09", x"07", x"09",
x"07", x"07", x"07", x"07", x"0A", x"07", x"07", x"07", x"07", x"0A",
x"07", x"0A", x"09", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"09", x"09", x"07",
x"07", x"07", x"09", x"07", x"07", x"07", x"09", x"07", x"09", x"07",
x"07", x"07", x"07", x"0A", x"07", x"07", x"07", x"07", x"0A", x"07",
x"0A", x"09", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07", x"07",
x"07", x"07", x"07", x"07", x"09", x"09", x"07", x"07", x"07", x"09",
x"07", x"07", x"07", x"09", x"07", x"09", x"07", x"07", x"07", x"07",
x"09", x"07", x"07", x"07", x"07", x"09", x"07", x"09", x"54", x"45",
x"52", x"25", x"77", x"00", x"20", x"69", x"6F", x"6F", x"72", x"2E",
x"00", x"61", x"2E", x"00", x"65", x"65", x"79", x"6E", x"74", x"2E",
x"00", x"6C", x"20", x"67", x"2E", x"00", x"52", x"6D", x"00", x"61",
x"4D", x"72", x"00", x"61", x"55", x"61", x"00", x"6C", x"69", x"64",
x"20", x"00", x"69", x"67", x"72", x"63", x"69", x"74", x"73", x"73",
x"20", x"00", x"61", x"67", x"61", x"63", x"65", x"20", x"00", x"75",
x"6E", x"65", x"65", x"61", x"62", x"6B", x"00", x"75", x"6E", x"77",
x"65", x"20", x"73", x"00", x"61", x"62", x"6B", x"20", x"6B", x"00",
x"61", x"73", x"20", x"65", x"20", x"69", x"6C", x"6C", x"20", x"6F",
x"00", x"61", x"69", x"6E", x"20", x"6F", x"73", x"61", x"20", x"61",
x"72", x"61", x"00", x"61", x"69", x"6F", x"65", x"2C", x"74", x"67",
x"74", x"6F", x"20", x"00", x"B0", x"00", x"00", x"00", x"1C", x"1C",
x"1C", x"1A", x"1A", x"1B", x"01", x"00", x"00", x"1B", x"00", x"1B",
x"00", x"1B", x"00", x"1B", x"00", x"1B", x"00", x"1B", x"00", x"1B",
x"00", x"1C", x"00", x"1C", x"32", x"00", x"1A", x"00", x"00", x"32",
x"00", x"1A", x"00", x"1C", x"62", x"00", x"1B", x"00", x"00", x"62",
x"00", x"1B", x"00", x"00", x"44", x"00", x"1B", x"00", x"1C", x"1C",
others => x"00"
x"07", x"07", x"07", x"09", x"09", x"07", x"07", x"07", x"09", x"07",
x"07", x"07", x"09", x"07", x"09", x"07", x"07", x"07", x"07", x"09",
x"07", x"07", x"07", x"07", x"09", x"07", x"09", x"54", x"45", x"52",
x"25", x"77", x"00", x"20", x"69", x"6F", x"6F", x"72", x"2E", x"00",
x"61", x"2E", x"00", x"65", x"65", x"79", x"6E", x"74", x"2E", x"00",
x"6C", x"20", x"67", x"2E", x"00", x"52", x"6D", x"00", x"61", x"4D",
x"72", x"00", x"52", x"61", x"00", x"61", x"67", x"52", x"2E", x"61",
x"55", x"61", x"00", x"6C", x"69", x"64", x"20", x"00", x"69", x"67",
x"72", x"63", x"69", x"74", x"73", x"73", x"20", x"00", x"61", x"67",
x"61", x"63", x"65", x"20", x"00", x"75", x"6E", x"65", x"65", x"61",
x"62", x"6B", x"00", x"75", x"6E", x"77", x"65", x"20", x"73", x"00",
x"61", x"62", x"6B", x"20", x"6B", x"00", x"61", x"73", x"20", x"65",
x"20", x"69", x"6C", x"6C", x"20", x"6F", x"00", x"61", x"69", x"6E",
x"20", x"6F", x"73", x"61", x"20", x"61", x"72", x"61", x"00", x"61",
x"69", x"6F", x"65", x"2C", x"74", x"67", x"74", x"6F", x"20", x"00",
x"B0", x"00", x"00", x"00", x"1D", x"1D", x"1D", x"1B", x"1B", x"1B",
x"00", x"1C", x"01", x"00", x"00", x"1C", x"00", x"1C", x"00", x"1C",
x"00", x"1C", x"00", x"1C", x"00", x"1C", x"00", x"1C", x"00", x"1D",
x"00", x"1D", x"32", x"00", x"1B", x"00", x"00", x"32", x"00", x"1B",
x"00", x"1D", x"62", x"00", x"1B", x"00", x"00", x"62", x"00", x"1B",
x"00", x"00", x"44", x"00", x"1C", x"00", x"1D", x"1D", x"44", x"00",
x"1C", x"00", x"1D", x"1D", others => x"00"
),
2 => (
x"1C", x"9C", x"1D", x"BD", x"02", x"42", x"03", x"63", x"40", x"42",
518,32 → 530,37
x"40", x"84", x"11", x"00", x"00", x"00", x"40", x"00", x"00", x"13",
x"40", x"82", x"00", x"42", x"85", x"00", x"82", x"00", x"14", x"43",
x"42", x"60", x"10", x"BF", x"B4", x"B3", x"B2", x"B1", x"B0", x"84",
x"00", x"BD", x"10", x"00", x"12", x"00", x"00", x"20", x"31", x"42",
x"30", x"52", x"BF", x"B4", x"B3", x"B2", x"B1", x"B0", x"00", x"BD",
x"BF", x"B4", x"B3", x"B2", x"B1", x"B0", x"00", x"BD", x"BD", x"B2",
x"92", x"92", x"BE", x"BF", x"B7", x"B6", x"B5", x"B4", x"B3", x"B1",
x"B0", x"40", x"80", x"02", x"16", x"80", x"00", x"00", x"53", x"15",
x"17", x"00", x"D6", x"00", x"00", x"40", x"00", x"60", x"35", x"22",
x"51", x"10", x"12", x"02", x"20", x"00", x"85", x"04", x"00", x"A5",
x"10", x"B7", x"00", x"C0", x"00", x"00", x"94", x"60", x"40", x"10",
x"00", x"94", x"12", x"11", x"02", x"1E", x"C2", x"15", x"02", x"11",
x"00", x"00", x"52", x"14", x"00", x"B5", x"C5", x"00", x"00", x"14",
x"02", x"50", x"31", x"20", x"DE", x"00", x"40", x"65", x"04", x"00",
x"A5", x"C0", x"00", x"A0", x"C5", x"73", x"40", x"31", x"00", x"73",
x"10", x"20", x"DE", x"BF", x"BE", x"B7", x"B6", x"B5", x"B4", x"B3",
x"B2", x"B1", x"B0", x"E0", x"BD", x"00", x"00", x"00", x"00", x"BD",
x"BF", x"B3", x"B2", x"B1", x"00", x"B0", x"04", x"00", x"84", x"04",
x"00", x"84", x"00", x"00", x"00", x"11", x"12", x"13", x"00", x"00",
x"42", x"00", x"51", x"00", x"52", x"00", x"53", x"00", x"00", x"00",
x"00", x"00", x"42", x"00", x"51", x"00", x"02", x"40", x"00", x"10",
x"00", x"00", x"00", x"00", x"10", x"00", x"00", x"00", x"00", x"BD",
x"BF", x"B4", x"B3", x"B2", x"B1", x"00", x"B0", x"00", x"00", x"02",
x"03", x"61", x"42", x"40", x"11", x"00", x"84", x"00", x"00", x"BF",
x"00", x"B4", x"B3", x"B2", x"B1", x"B0", x"E0", x"BD", x"00", x"84",
x"00", x"00", x"00", x"24", x"10", x"12", x"31", x"14", x"13", x"00",
x"00", x"42", x"00", x"50", x"20", x"52", x"00", x"54", x"00", x"82",
x"00", x"53", x"00", x"40", x"03", x"00", x"00", x"00", x"00", x"00",
x"85", x"00", x"00", x"00", x"85", x"00", x"00", x"43", x"00", x"00",
x"00", x"BD", x"BF", x"B4", x"B3", x"B2", x"B1", x"B0", x"00", x"BD",
x"BF", x"B4", x"B3", x"B2", x"B1", x"B0", x"00", x"BD", x"BD", x"B0",
x"10", x"BF", x"B5", x"B4", x"B3", x"B2", x"00", x"B1", x"00", x"04",
x"04", x"85", x"80", x"00", x"00", x"00", x"00", x"11", x"15", x"10",
x"13", x"22", x"12", x"31", x"B5", x"14", x"13", x"00", x"00", x"00",
x"22", x"50", x"A0", x"31", x"10", x"13", x"00", x"BF", x"B5", x"B4",
x"B3", x"B2", x"B1", x"B0", x"E0", x"BD", x"82", x"00", x"42", x"85",
x"82", x"00", x"54", x"00", x"31", x"BD", x"B2", x"92", x"92", x"BE",
x"BF", x"B7", x"B6", x"B5", x"B4", x"B3", x"B1", x"B0", x"40", x"80",
x"02", x"16", x"80", x"00", x"00", x"53", x"15", x"17", x"00", x"D6",
x"00", x"00", x"40", x"00", x"60", x"35", x"22", x"51", x"10", x"12",
x"02", x"20", x"00", x"85", x"04", x"00", x"A5", x"10", x"B7", x"00",
x"C0", x"00", x"00", x"94", x"60", x"40", x"10", x"00", x"94", x"12",
x"11", x"02", x"1E", x"C2", x"15", x"02", x"11", x"00", x"00", x"52",
x"14", x"00", x"B5", x"C5", x"00", x"00", x"14", x"02", x"50", x"31",
x"20", x"DE", x"00", x"40", x"65", x"04", x"00", x"A5", x"C0", x"00",
x"A0", x"C5", x"73", x"40", x"31", x"00", x"73", x"10", x"20", x"DE",
x"BF", x"BE", x"B7", x"B6", x"B5", x"B4", x"B3", x"B2", x"B1", x"B0",
x"E0", x"BD", x"00", x"00", x"00", x"00", x"BD", x"BF", x"B3", x"B2",
x"B1", x"00", x"B0", x"04", x"00", x"84", x"04", x"00", x"84", x"00",
x"00", x"00", x"11", x"12", x"13", x"00", x"00", x"42", x"00", x"51",
x"00", x"52", x"00", x"53", x"00", x"00", x"00", x"00", x"00", x"42",
x"00", x"51", x"00", x"02", x"40", x"00", x"10", x"00", x"00", x"00",
x"00", x"10", x"00", x"00", x"00", x"00", x"BD", x"BF", x"B4", x"B3",
x"B2", x"B1", x"00", x"B0", x"00", x"00", x"02", x"03", x"61", x"42",
x"40", x"11", x"00", x"84", x"00", x"00", x"BF", x"00", x"B4", x"B3",
x"B2", x"B1", x"B0", x"E0", x"BD", x"00", x"84", x"00", x"00", x"00",
x"24", x"10", x"12", x"31", x"14", x"13", x"00", x"00", x"42", x"00",
x"50", x"20", x"52", x"00", x"54", x"00", x"82", x"00", x"53", x"00",
x"40", x"03", x"00", x"00", x"00", x"00", x"00", x"85", x"00", x"00",
x"00", x"85", x"00", x"00", x"43", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
561,25 → 578,26
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"41", x"20",
x"4F", x"79", x"24", x"00", x"78", x"6F", x"42", x"6C", x"65", x"30",
x"31", x"74", x"20", x"00", x"69", x"6D", x"72", x"6F", x"6E", x"2E",
x"00", x"70", x"64", x"61", x"2E", x"00", x"44", x"65", x"79", x"6C",
x"20", x"6F", x"00", x"6D", x"20", x"6F", x"00", x"70", x"64", x"20",
x"61", x"2E", x"61", x"6E", x"6F", x"6E", x"6D", x"20", x"6E", x"73",
x"6E", x"2E", x"72", x"6E", x"6C", x"20", x"74", x"73", x"2E", x"6F",
x"20", x"20", x"73", x"6C", x"20", x"63", x"00", x"6F", x"20", x"20",
x"74", x"6F", x"61", x"00", x"6C", x"20", x"63", x"73", x"63", x"2E",
x"6D", x"20", x"65", x"63", x"73", x"61", x"62", x"66", x"68", x"6D",
x"2E", x"6C", x"20", x"69", x"6E", x"72", x"75", x"74", x"2E", x"65",
x"20", x"74", x"2E", x"6C", x"20", x"6E", x"72", x"79", x"6C", x"75",
x"69", x"68", x"64", x"2E", x"B9", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"41", x"20", x"4F",
x"79", x"24", x"00", x"78", x"6F", x"42", x"6C", x"65", x"30", x"31",
x"74", x"20", x"00", x"69", x"6D", x"72", x"6F", x"6E", x"2E", x"00",
x"70", x"64", x"61", x"2E", x"00", x"44", x"65", x"79", x"6C", x"20",
x"6F", x"00", x"44", x"6F", x"00", x"6F", x"6E", x"44", x"2E", x"6D",
x"20", x"6F", x"00", x"70", x"64", x"20", x"61", x"2E", x"61", x"6E",
x"6F", x"6E", x"6D", x"20", x"6E", x"73", x"6E", x"2E", x"72", x"6E",
x"6C", x"20", x"74", x"73", x"2E", x"6F", x"20", x"20", x"73", x"6C",
x"20", x"63", x"00", x"6F", x"20", x"20", x"74", x"6F", x"61", x"00",
x"6C", x"20", x"63", x"73", x"63", x"2E", x"6D", x"20", x"65", x"63",
x"73", x"61", x"62", x"66", x"68", x"6D", x"2E", x"6C", x"20", x"69",
x"6E", x"72", x"75", x"74", x"2E", x"65", x"20", x"74", x"2E", x"6C",
x"20", x"6E", x"72", x"79", x"6C", x"75", x"69", x"68", x"64", x"2E",
x"B9", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"0C", x"00", x"00", x"00", x"00", x"0C",
x"00", x"00", x"00", x"00", x"11", x"00", x"00", x"00", x"00", x"01",
x"00", x"00", x"00", x"00", x"0C", x"00", x"00", x"00", x"00", x"00",
others => x"00"
x"00", x"00", x"0C", x"00", x"00", x"00", x"00", x"0C", x"00", x"00",
x"00", x"00", x"11", x"00", x"00", x"00", x"00", x"01", x"00", x"00",
x"00", x"00", x"0C", x"00", x"00", x"00", x"00", x"00", x"0C", x"00",
x"00", x"00", x"00", x"00", others => x"00"
),
3 => (
x"3C", x"27", x"3C", x"27", x"3C", x"24", x"3C", x"24", x"AC", x"24",
709,33 → 727,38
x"14", x"27", x"12", x"00", x"0C", x"00", x"00", x"0C", x"02", x"16",
x"02", x"8F", x"00", x"24", x"27", x"0C", x"AF", x"0C", x"02", x"30",
x"30", x"10", x"26", x"8F", x"8F", x"8F", x"8F", x"8F", x"8F", x"27",
x"08", x"27", x"00", x"12", x"3C", x"00", x"0C", x"02", x"26", x"AE",
x"16", x"26", x"8F", x"8F", x"8F", x"8F", x"8F", x"8F", x"08", x"27",
x"08", x"27", x"8F", x"8F", x"8F", x"8F", x"8F", x"8F", x"08", x"27",
x"8F", x"8F", x"8F", x"8F", x"8F", x"8F", x"08", x"27", x"27", x"AF",
x"24", x"00", x"AF", x"AF", x"AF", x"AF", x"AF", x"AF", x"AF", x"AF",
x"AF", x"10", x"00", x"3C", x"3C", x"00", x"00", x"00", x"24", x"24",
x"3C", x"08", x"26", x"0C", x"00", x"00", x"0C", x"02", x"12", x"26",
x"30", x"26", x"12", x"3C", x"16", x"02", x"26", x"24", x"0C", x"30",
x"00", x"00", x"0C", x"02", x"0C", x"02", x"26", x"02", x"00", x"26",
x"0C", x"32", x"16", x"24", x"3C", x"00", x"03", x"3C", x"3C", x"24",
x"00", x"00", x"24", x"24", x"08", x"26", x"8F", x"0C", x"00", x"12",
x"26", x"30", x"26", x"12", x"27", x"16", x"02", x"26", x"24", x"0C",
x"30", x"03", x"0C", x"02", x"8F", x"26", x"02", x"26", x"0C", x"32",
x"24", x"16", x"27", x"8F", x"8F", x"8F", x"8F", x"8F", x"8F", x"8F",
x"8F", x"8F", x"8F", x"03", x"27", x"08", x"00", x"08", x"00", x"27",
x"AF", x"AF", x"AF", x"AF", x"0C", x"AF", x"3C", x"0C", x"24", x"3C",
x"0C", x"24", x"0C", x"00", x"00", x"24", x"24", x"24", x"0C", x"00",
x"90", x"00", x"10", x"00", x"10", x"00", x"14", x"00", x"0C", x"00",
x"0C", x"00", x"90", x"00", x"14", x"00", x"2E", x"14", x"00", x"26",
x"0C", x"02", x"08", x"00", x"26", x"0C", x"02", x"08", x"00", x"27",
x"AF", x"AF", x"AF", x"AF", x"AF", x"0C", x"AF", x"0C", x"00", x"00",
x"00", x"04", x"30", x"10", x"3C", x"0C", x"27", x"0C", x"00", x"8F",
x"00", x"8F", x"8F", x"8F", x"8F", x"8F", x"03", x"27", x"0C", x"27",
x"08", x"00", x"0C", x"26", x"24", x"24", x"26", x"24", x"24", x"0C",
x"00", x"90", x"00", x"10", x"02", x"10", x"00", x"14", x"00", x"93",
x"00", x"10", x"00", x"14", x"24", x"0C", x"00", x"08", x"00", x"0C",
x"27", x"08", x"00", x"0C", x"27", x"08", x"00", x"14", x"00", x"0C",
x"00", x"08", x"00", x"0C", x"00", x"00", x"00", x"00", x"00", x"00",
x"3C", x"AF", x"AF", x"AF", x"AF", x"AF", x"0C", x"AF", x"0C", x"26",
x"26", x"27", x"AF", x"0C", x"00", x"0C", x"00", x"3C", x"3C", x"26",
x"3C", x"AE", x"3C", x"26", x"26", x"3C", x"12", x"02", x"0C", x"00",
x"AE", x"12", x"02", x"26", x"26", x"16", x"02", x"8F", x"8F", x"8F",
x"8F", x"8F", x"8F", x"8F", x"03", x"27", x"8F", x"00", x"24", x"27",
x"AF", x"0C", x"02", x"08", x"26", x"27", x"AF", x"24", x"00", x"AF",
x"AF", x"AF", x"AF", x"AF", x"AF", x"AF", x"AF", x"AF", x"10", x"00",
x"3C", x"3C", x"00", x"00", x"00", x"24", x"24", x"3C", x"08", x"26",
x"0C", x"00", x"00", x"0C", x"02", x"12", x"26", x"30", x"26", x"12",
x"3C", x"16", x"02", x"26", x"24", x"0C", x"30", x"00", x"00", x"0C",
x"02", x"0C", x"02", x"26", x"02", x"00", x"26", x"0C", x"32", x"16",
x"24", x"3C", x"00", x"03", x"3C", x"3C", x"24", x"00", x"00", x"24",
x"24", x"08", x"26", x"8F", x"0C", x"00", x"12", x"26", x"30", x"26",
x"12", x"27", x"16", x"02", x"26", x"24", x"0C", x"30", x"03", x"0C",
x"02", x"8F", x"26", x"02", x"26", x"0C", x"32", x"24", x"16", x"27",
x"8F", x"8F", x"8F", x"8F", x"8F", x"8F", x"8F", x"8F", x"8F", x"8F",
x"03", x"27", x"08", x"00", x"08", x"00", x"27", x"AF", x"AF", x"AF",
x"AF", x"0C", x"AF", x"3C", x"0C", x"24", x"3C", x"0C", x"24", x"0C",
x"00", x"00", x"24", x"24", x"24", x"0C", x"00", x"90", x"00", x"10",
x"00", x"10", x"00", x"14", x"00", x"0C", x"00", x"0C", x"00", x"90",
x"00", x"14", x"00", x"2E", x"14", x"00", x"26", x"0C", x"02", x"08",
x"00", x"26", x"0C", x"02", x"08", x"00", x"27", x"AF", x"AF", x"AF",
x"AF", x"AF", x"0C", x"AF", x"0C", x"00", x"00", x"00", x"04", x"30",
x"10", x"3C", x"0C", x"27", x"0C", x"00", x"8F", x"00", x"8F", x"8F",
x"8F", x"8F", x"8F", x"03", x"27", x"0C", x"27", x"08", x"00", x"0C",
x"26", x"24", x"24", x"26", x"24", x"24", x"0C", x"00", x"90", x"00",
x"10", x"02", x"10", x"00", x"14", x"00", x"93", x"00", x"10", x"00",
x"14", x"24", x"0C", x"00", x"08", x"00", x"0C", x"27", x"08", x"00",
x"0C", x"27", x"08", x"00", x"14", x"00", x"0C", x"00", x"0C", x"00",
x"08", x"00", x"0C", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
752,25 → 775,26
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"46", x"4C",
x"52", x"24", x"3A", x"00", x"25", x"76", x"20", x"74", x"64", x"76",
x"2E", x"53", x"74", x"2E", x"56", x"20", x"6F", x"63", x"65", x"20",
x"00", x"55", x"61", x"6D", x"20", x"00", x"44", x"4D", x"72", x"46",
x"68", x"6D", x"00", x"49", x"65", x"6C", x"00", x"55", x"61", x"67",
x"74", x"2E", x"57", x"69", x"66", x"69", x"6D", x"67", x"61", x"69",
x"6F", x"2E", x"45", x"69", x"66", x"68", x"6E", x"74", x"2E", x"43",
x"64", x"74", x"61", x"66", x"68", x"6F", x"00", x"43", x"64", x"74",
x"69", x"74", x"6C", x"2E", x"46", x"68", x"6F", x"69", x"6F", x"64",
x"49", x"65", x"7A", x"78", x"64", x"76", x"61", x"20", x"73", x"65",
x"79", x"46", x"68", x"20", x"61", x"72", x"6F", x"73", x"65", x"6C",
x"65", x"73", x"74", x"46", x"68", x"20", x"20", x"64", x"61", x"6F",
x"20", x"73", x"6C", x"65", x"12", x"07", x"01", x"03", x"00", x"00",
x"00", x"00", x"00", x"00", x"02", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"46", x"4C", x"52",
x"24", x"3A", x"00", x"25", x"76", x"20", x"74", x"64", x"76", x"2E",
x"53", x"74", x"2E", x"56", x"20", x"6F", x"63", x"65", x"20", x"00",
x"55", x"61", x"6D", x"20", x"00", x"44", x"4D", x"72", x"46", x"68",
x"6D", x"00", x"44", x"4C", x"00", x"4C", x"69", x"44", x"2E", x"49",
x"65", x"6C", x"00", x"55", x"61", x"67", x"74", x"2E", x"57", x"69",
x"66", x"69", x"6D", x"67", x"61", x"69", x"6F", x"2E", x"45", x"69",
x"66", x"68", x"6E", x"74", x"2E", x"43", x"64", x"74", x"61", x"66",
x"68", x"6F", x"00", x"43", x"64", x"74", x"69", x"74", x"6C", x"2E",
x"46", x"68", x"6F", x"69", x"6F", x"64", x"49", x"65", x"7A", x"78",
x"64", x"76", x"61", x"20", x"73", x"65", x"79", x"46", x"68", x"20",
x"61", x"72", x"6F", x"73", x"65", x"6C", x"65", x"73", x"74", x"46",
x"68", x"20", x"20", x"64", x"61", x"6F", x"20", x"73", x"6C", x"65",
x"12", x"07", x"01", x"03", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"02", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00", x"00",
x"00", x"00", x"00", x"00", x"19", x"04", x"00", x"01", x"00", x"19",
x"07", x"00", x"01", x"00", x"01", x"06", x"00", x"00", x"00", x"01",
x"06", x"00", x"00", x"00", x"10", x"07", x"00", x"02", x"00", x"00",
others => x"00"
x"00", x"00", x"19", x"04", x"00", x"01", x"00", x"19", x"07", x"00",
x"01", x"00", x"01", x"06", x"00", x"00", x"00", x"01", x"06", x"00",
x"00", x"00", x"10", x"07", x"00", x"02", x"00", x"00", x"10", x"07",
x"00", x"02", x"00", x"00", others => x"00"
)
);
 
/trunk/sw/common/Makefile
1,7 → 1,7
#------------------------------------------------------------------------------#
# Common makefile #
# Common Makefile #
#------------------------------------------------------------------------------#
# Copyright (C) 2011 Mathias Hoertnagl, mathias.hoertnagl@student.uibk.ac.at #
# Copyright (C) 2011 Mathias Hoertnagl, mathias.hoertnagl@gmail.com #
# #
# This program is free software; you can redistribute it and/or modify it #
# under the terms of the GNU General Public License as published by the Free #
trunk/xilinx/_ngo Property changes : Deleted: bugtraq:number ## -1 +0,0 ## -true \ No newline at end of property Index: trunk/xilinx/layer2.xise =================================================================== --- trunk/xilinx/layer2.xise (revision 3) +++ trunk/xilinx/layer2.xise (nonexistent) @@ -1,502 +0,0 @@ - - - -
- - - - - - - - -
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Index: trunk/xilinx/impact.cmds =================================================================== --- trunk/xilinx/impact.cmds (nonexistent) +++ trunk/xilinx/impact.cmds (revision 4) @@ -0,0 +1,6 @@ +setmode -bs +setcable -p auto +identify +assignFile -p 1 -file layer2.bit +program -p 1 +quit \ No newline at end of file Index: trunk/xilinx/layer2.ut =================================================================== --- trunk/xilinx/layer2.ut (nonexistent) +++ trunk/xilinx/layer2.ut (revision 4) @@ -0,0 +1,22 @@ +-w +-g DebugBitstream:No +-g Binary:no +-g CRC:Enable +-g ConfigRate:1 +-g ProgPin:PullUp +-g DonePin:PullUp +-g TckPin:PullUp +-g TdiPin:PullUp +-g TdoPin:PullUp +-g TmsPin:PullUp +-g UnusedPin:PullDown +-g UserID:0xFFFFFFFF +-g DCMShutdown:Disable +-g StartUpClk:CClk +-g DONE_cycle:4 +-g GTS_cycle:5 +-g GWE_cycle:6 +-g LCK_cycle:NoWait +-g Security:None +-g DonePipe:No +-g DriveDone:No Index: trunk/xilinx/layer2.prj =================================================================== --- trunk/xilinx/layer2.prj (nonexistent) +++ trunk/xilinx/layer2.prj (revision 4) @@ -0,0 +1,35 @@ +vhdl work "../vhdl/intercon/rtl/iwb.vhd" +vhdl work "../vhdl/cpu/rtl/mips1.vhd" +vhdl work "../vhdl/mem/rtl/imem.vhd" +vhdl work "../vhdl/cpu/rtl/tcpu.vhd" +vhdl work "../vhdl/vga/rtl/rom.vhd" +vhdl work "../vhdl/vga/rtl/ram.vhd" +vhdl work "../vhdl/rs232/rtl/iuart.vhd" +vhdl work "../vhdl/rs232/rtl/counter.vhd" +vhdl work "../vhdl/keyb/rtl/ps2.vhd" +vhdl work "../vhdl/keyb/rtl/ascii.vhd" +vhdl work "../vhdl/intercon/rtl/icon.vhd" +vhdl work "../vhdl/ddr/rtl/iddr.vhd" +vhdl work "../vhdl/ddr/rtl/ddr_init.vhd" +vhdl work "../vhdl/cpu/rtl/icpu.vhd" +vhdl work "../vhdl/cpu/rtl/gpr.vhd" +vhdl work "../vhdl/cpu/rtl/fcpu.vhd" +vhdl work "../sw/bin/data.vhd" +vhdl work "clock.vhd" +vhdl work "../vhdl/vga/rtl/vga.vhd" +vhdl work "../vhdl/vga/rtl/ivga.vhd" +vhdl work "../vhdl/rs232/rtl/uartt.vhd" +vhdl work "../vhdl/rs232/rtl/uartr.vhd" +vhdl work "../vhdl/pit/rtl/pit.vhd" +vhdl work "../vhdl/pit/rtl/ipit.vhd" +vhdl work "../vhdl/mem/rtl/mem.vhd" +vhdl work "../vhdl/keyb/rtl/keyb.vhd" +vhdl work "../vhdl/keyb/rtl/ikeyb.vhd" +vhdl work "../vhdl/intercon/rtl/intercon.vhd" +vhdl work "../vhdl/flash/rtl/iflash.vhd" +vhdl work "../vhdl/flash/rtl/flash.vhd" +vhdl work "../vhdl/ddr/rtl/ddr.vhd" +vhdl work "../vhdl/cpu/rtl/wbm.vhd" +vhdl work "../vhdl/cpu/rtl/iwbm.vhd" +vhdl work "../vhdl/cpu/rtl/cpu.vhd" +vhdl work "layer2.vhd" Index: trunk/xilinx/layer2.xst =================================================================== --- trunk/xilinx/layer2.xst (nonexistent) +++ trunk/xilinx/layer2.xst (revision 4) @@ -0,0 +1,56 @@ +set -tmpdir "xst/projnav.tmp" +set -xsthdpdir "xst" +run +-ifn layer2.prj +-ifmt mixed +-ofn layer2 +-ofmt NGC +-p xc3s500e-4-fg320 +-top layer2 +-opt_mode Speed +-opt_level 1 +-iuc NO +-keep_hierarchy No +-netlist_hierarchy As_Optimized +-rtlview Yes +-glob_opt AllClockNets +-read_cores YES +-write_timing_constraints NO +-cross_clock_analysis NO +-hierarchy_separator / +-bus_delimiter <> +-case Maintain +-slice_utilization_ratio 100 +-bram_utilization_ratio 100 +-verilog2001 YES +-fsm_extract YES -fsm_encoding Auto +-safe_implementation No +-fsm_style LUT +-ram_extract Yes +-ram_style Auto +-rom_extract Yes +-mux_style Auto +-decoder_extract YES +-priority_extract Yes +-shreg_extract YES +-shift_extract YES +-xor_collapse YES +-rom_style Auto +-auto_bram_packing NO +-mux_extract Yes +-resource_sharing YES +-async_to_sync NO +-mult_style Auto +-iobuf YES +-max_fanout 500 +-bufg 24 +-register_duplication YES +-register_balancing No +-slice_packing YES +-optimize_primitives NO +-use_clock_enable Yes +-use_sync_set Yes +-use_sync_reset Yes +-iob Auto +-equivalent_register_removal YES +-slice_utilization_ratio_maxmargin 5 Index: trunk/xilinx/Makefile =================================================================== --- trunk/xilinx/Makefile (nonexistent) +++ trunk/xilinx/Makefile (revision 4) @@ -0,0 +1,48 @@ +#------------------------------------------------------------------------------# +# Xilinx Makefile # +#------------------------------------------------------------------------------# +# Copyright (C) 2012 Mathias Hoertnagl, mathias.hoertnagl@gmail.com # +# # +# This program is free software; you can redistribute it and/or modify it # +# under the terms of the GNU General Public License as published by the Free # +# Software Foundation; either version 3 of the License, or (at your option) # +# any later version. # +# This program is distributed in the hope that it will be useful, but WITHOUT # +# ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or # +# FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for # +# more details. # +# You should have received a copy of the GNU General Public License along with # +# this program; if not, see . # +#------------------------------------------------------------------------------# +TOOL_DIR = /cygdrive/c/Xilinx/13.1/ISE_DS/ISE/bin/nt/ + +XST = $(TOOL_DIR)xst.exe +NGDBUILD = $(TOOL_DIR)ngdbuild.exe +MAP = $(TOOL_DIR)map.exe +PAR = $(TOOL_DIR)par.exe +TRCE = $(TOOL_DIR)trce.exe +BITGEN = $(TOOL_DIR)bitgen.exe +IMPACT = $(TOOL_DIR)impact.exe + +upload : layer2.bit + $(IMPACT) -batch impact.cmds + +.PHONY: build +build : layer2.bit + +layer2.bit : + $(XST) -ifn layer2.xst -ofn layer2.syr -intstyle ise + $(NGDBUILD) -intstyle ise -dd ngdbuild -nt timestamp -uc layer2.ucf \ + -p xc3s500e-fg320-4 layer2.ngc layer2.ngd + $(MAP) -intstyle ise -p xc3s500e-fg320-4 -cm area -ir off -pr off -c 100 \ + -o layer2_map.ncd layer2.ngd layer2.pcf + $(PAR) -w -intstyle ise -ol high -t 1 layer2_map.ncd layer2.ncd layer2.pcf + $(TRCE) -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml layer2.twx layer2.ncd \ + -o layer2.twr layer2.pcf -ucf layer2.ucf + $(BITGEN) -intstyle ise -f layer2.ut layer2.ncd + +.PHONY: clean +clean : + -@$(RM) *.bgn *.bld *.drc *.cmd_log *.lso *.ncd *.ngc *.ngd *.ngr *.pad \ + *.par *.pcf *.ptwx *.stx *.syr *.twr *.twx *.unroutes *.xpi *.xwbt \ + *.map *.mrp *.xrpt *.csv *.txt *.xml *.html *.log *.ngm \ No newline at end of file Index: trunk/xilinx =================================================================== --- trunk/xilinx (revision 3) +++ trunk/xilinx (revision 4)
trunk/xilinx Property changes : Added: svn:ignore ## -0,0 +1,6 ## +_ngo +_xmsgs +iseconfig +ngdbuild +xlnx_auto_0_xdb +xst Index: trunk/vhdl/ddr/rtl/ddr.vhd =================================================================== --- trunk/vhdl/ddr/rtl/ddr.vhd (revision 3) +++ trunk/vhdl/ddr/rtl/ddr.vhd (revision 4) @@ -172,7 +172,7 @@ -- If this problem happens to be fixed someday, the following state -- machine can be deleted and the Wishbone signals can be tied directly -- into the main state machine. - wbone : process(w, si, init.done) + wbone : process(w, si, init.done, ddr_done) begin win <= w; @@ -217,7 +217,7 @@ -- Main Controller -- ----------------------------------------------------------------------------- -- main : process(m, si, init) - main : process(m, init, read_wb, write_wb) + main : process(m, init, read_wb, write_wb, si.adr) begin min <= m;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.