OpenCores
URL https://opencores.org/ocsvn/lem1_9min/lem1_9min/trunk

Subversion Repositories lem1_9min

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /lem1_9min/web_uploads
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/svn_checkin.log File deleted
/temp.sh File deleted
/oc_cvs_checkin.sh File deleted
oc_cvs_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: svn_checkin.sh =================================================================== --- svn_checkin.sh (revision 5) +++ svn_checkin.sh (nonexistent) @@ -1,2834 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -# Encapsulate the checkins inside this loop we can -# break out of in the event of a problem checking -# one of them in - -# Function to check the return value of each SVN checkin -function check_svn_return_value { if [ $? -gt 1 ]; then echo "Error during checkins - aborting script."; exit 1; fi -} -ALL_DONE="0" -while [ $ALL_DONE = 0 ]; do - pushd "100baset" - popd - pushd "1394ohci" - popd - pushd "2dcoprocessor" - popd - pushd "395_vgs" - popd - pushd "3des_vhdl" - popd - pushd "4bitprocesor" - popd - pushd "6502vhdl" - popd - pushd "68hc05" - popd - pushd "68hc08" - popd - pushd "8051_serial" - popd - pushd "8051_to_ahb_interface" - popd - pushd "8b10b_encdec" - svn import -m "Import from OC" "8b10b_encdec_v1d0.pdf" "http://orsoc.se:4488/svn/8b10b_encdec/8b10b_encdec_v1d0.pdf" - check_svn_return_value - svn import -m "Import from OC" "8b10_dec.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_dec.vhd" - check_svn_return_value - svn import -m "Import from OC" "8b10_enc.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_enc.vhd" - check_svn_return_value - svn import -m "Import from OC" "enc_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/enc_8b10b_TB.vhd" - check_svn_return_value - svn import -m "Import from OC" "encdec_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/encdec_8b10b_TB.vhd" - check_svn_return_value - popd - pushd "8bituartvhdl" - popd - pushd "aacencode" - popd - pushd "acxbrd" - svn import -m "Import from OC" "jopcore.pdf" "http://orsoc.se:4488/svn/acxbrd/jopcore.pdf" - check_svn_return_value - popd - pushd "adaptivefilter" - popd - pushd "adaptive_lms_equalizer" - popd - pushd "adder" - svn import -m "Import from OC" "high-speed-adder-128bits-opencore.v" "http://orsoc.se:4488/svn/adder/high-speed-adder-128bits-opencore.v" - check_svn_return_value - popd - pushd "ae18" - popd - pushd "aemb" - popd - pushd "aes128" - popd - pushd "aes_128_192_256" - svn import -m "Import from OC" "aes_dec.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_dec.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_enc.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_enc.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_pkg.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_pkg.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_top.pdf" "http://orsoc.se:4488/svn/aes_128_192_256/aes_top.pdf" - check_svn_return_value - svn import -m "Import from OC" "key_expansion.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/key_expansion.vhdl" - check_svn_return_value - popd - pushd "aes_core" - popd - pushd "aes_crypto_core" - popd - pushd "aes_fekete256" - svn import -m "Import from OC" "AES.ZIP" "http://orsoc.se:4488/svn/aes_fekete256/AES.ZIP" - check_svn_return_value - popd - pushd "ahb2wishbone" - popd - pushd "ahbahb" - popd - pushd "ahb_arbiter" - popd - pushd "ahb_system_generator" - popd - pushd "all_digital_fm_receiver" - svn import -m "Import from OC" "architecture.png" "http://orsoc.se:4488/svn/all_digital_fm_receiver/architecture.png" - check_svn_return_value - svn import -m "Import from OC" "fmsquare.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmsquare.jpg" - check_svn_return_value - svn import -m "Import from OC" "fmtriangular.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmtriangular.jpg" - check_svn_return_value - popd - pushd "alternascope" - svn import -m "Import from OC" "Alternascope_Sept15_2005.rar" "http://orsoc.se:4488/svn/alternascope/Alternascope_Sept15_2005.rar" - check_svn_return_value - svn import -m "Import from OC" "BlockDiagram_small.GIF" "http://orsoc.se:4488/svn/alternascope/BlockDiagram_small.GIF" - check_svn_return_value - svn import -m "Import from OC" "OpenCores.JPG" "http://orsoc.se:4488/svn/alternascope/OpenCores.JPG" - check_svn_return_value - popd - pushd "alu_with_selectable_inputs_and_outputs" - popd - pushd "amba_compliant_fifo_core" - popd - pushd "ambasdram" - popd - pushd "aquarius" - svn import -m "Import from OC" "aquarius.files" "http://orsoc.se:4488/svn/aquarius/aquarius.files" - check_svn_return_value - svn import -m "Import from OC" "aquarius.html" "http://orsoc.se:4488/svn/aquarius/aquarius.html" - check_svn_return_value - svn import -m "Import from OC" "cpublock.gif" "http://orsoc.se:4488/svn/aquarius/cpublock.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaboard.gif" "http://orsoc.se:4488/svn/aquarius/fpgaboard.gif" - check_svn_return_value - svn import -m "Import from OC" "rtl.gif" "http://orsoc.se:4488/svn/aquarius/rtl.gif" - check_svn_return_value - popd - pushd "aspida" - svn import -m "Import from OC" "aspida_dlx_core.tar.gz" "http://orsoc.se:4488/svn/aspida/aspida_dlx_core.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "aspida.gif" "http://orsoc.se:4488/svn/aspida/aspida.gif" - check_svn_return_value - svn import -m "Import from OC" "faq.tar.gz" "http://orsoc.se:4488/svn/aspida/faq.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "thumb_aspida.gif" "http://orsoc.se:4488/svn/aspida/thumb_aspida.gif" - check_svn_return_value - popd - pushd "asynchronous_clocks" - popd - pushd "ata" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ata/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "preliminary_ata_core.pdf" "http://orsoc.se:4488/svn/ata/preliminary_ata_core.pdf" - check_svn_return_value - popd - pushd "auto_baud" - svn import -m "Import from OC" "auto_baud.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud.v" - check_svn_return_value - svn import -m "Import from OC" "auto_baud_with_tracking.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud_with_tracking.v" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/auto_baud/b13_safe_09_17_02.zip" - check_svn_return_value - popd - pushd "a_vhd_16550_uart" - svn import -m "Import from OC" "gh_uart_16550_101307.zip" "http://orsoc.se:4488/svn/a_vhd_16550_uart/gh_uart_16550_101307.zip" - check_svn_return_value - svn import -m "Import from OC" "vhdl_16550_uart_2_2.pdf" "http://orsoc.se:4488/svn/a_vhd_16550_uart/vhdl_16550_uart_2_2.pdf" - check_svn_return_value - popd - pushd "a_vhdl_can_controller" - svn import -m "Import from OC" "can_parts.zip" "http://orsoc.se:4488/svn/a_vhdl_can_controller/can_parts.zip" - check_svn_return_value - popd - pushd "avr_core" - svn import -m "Import from OC" "AVR_Core8F.tar.gz" "http://orsoc.se:4488/svn/avr_core/AVR_Core8F.tar.gz" - check_svn_return_value - popd - pushd "ax8" - popd - pushd "basicdes" - popd - pushd "basicrsa" - popd - pushd "baudgen" - svn import -m "Import from OC" "am_baud_rate_gen.vhd" "http://orsoc.se:4488/svn/baudgen/am_baud_rate_gen.vhd" - check_svn_return_value - popd - pushd "baud_select_uart" - popd - pushd "bc6502" - popd - pushd "big_counter" - popd - pushd "binary_to_bcd" - svn import -m "Import from OC" "b17_test_environment.zip" "http://orsoc.se:4488/svn/binary_to_bcd/b17_test_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "bcd_to_binary.v" "http://orsoc.se:4488/svn/binary_to_bcd/bcd_to_binary.v" - check_svn_return_value - svn import -m "Import from OC" "binary_to_bcd.v" "http://orsoc.se:4488/svn/binary_to_bcd/binary_to_bcd.v" - check_svn_return_value - popd - pushd "bips" - popd - pushd "biquad" - svn import -m "Import from OC" "biquad.pdf" "http://orsoc.se:4488/svn/biquad/biquad.pdf" - check_svn_return_value - svn import -m "Import from OC" "biquad.v" "http://orsoc.se:4488/svn/biquad/biquad.v" - check_svn_return_value - svn import -m "Import from OC" "bqmain.v" "http://orsoc.se:4488/svn/biquad/bqmain.v" - check_svn_return_value - svn import -m "Import from OC" "bquad_blk.gif" "http://orsoc.se:4488/svn/biquad/bquad_blk.gif" - check_svn_return_value - svn import -m "Import from OC" "coefio.v" "http://orsoc.se:4488/svn/biquad/coefio.v" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/biquad/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "multa.v" "http://orsoc.se:4488/svn/biquad/multa.v" - check_svn_return_value - svn import -m "Import from OC" "multb.v" "http://orsoc.se:4488/svn/biquad/multb.v" - check_svn_return_value - svn import -m "Import from OC" "vsource.html" "http://orsoc.se:4488/svn/biquad/vsource.html" - check_svn_return_value - popd - pushd "bluespec-80211atransmitter" - popd - pushd "bluespec-bsp" - popd - pushd "bluespec-convolutional-codec" - popd - pushd "bluespec-fft" - popd - pushd "bluespec-galoisfield" - popd - pushd "bluespec-h264" - svn import -m "Import from OC" "h264.pdf" "http://orsoc.se:4488/svn/bluespec-h264/h264.pdf" - check_svn_return_value - svn import -m "Import from OC" "memo497.pdf" "http://orsoc.se:4488/svn/bluespec-h264/memo497.pdf" - check_svn_return_value - popd - pushd "bluespec-ofdm" - popd - pushd "bluespec-reedsolomon" - popd - pushd "bluetooth" - svn import -m "Import from OC" "BBspec.shtml" "http://orsoc.se:4488/svn/bluetooth/BBspec.shtml" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_01b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_01b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_02b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_02b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth.zip" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/bluetooth/index.shtml" - check_svn_return_value - popd - pushd "bluetooth_ver" - popd - pushd "board" - svn import -m "Import from OC" "blockdiagram.jpg" "http://orsoc.se:4488/svn/board/blockdiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "boardflow.jpg" "http://orsoc.se:4488/svn/board/boardflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "board.shtml" "http://orsoc.se:4488/svn/board/board.shtml" - check_svn_return_value - svn import -m "Import from OC" "coreflow.jpg" "http://orsoc.se:4488/svn/board/coreflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/board/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "led.jpg" "http://orsoc.se:4488/svn/board/led.jpg" - check_svn_return_value - svn import -m "Import from OC" "matrics.gif" "http://orsoc.se:4488/svn/board/matrics.gif" - check_svn_return_value - svn import -m "Import from OC" "power_led.gif" "http://orsoc.se:4488/svn/board/power_led.gif" - check_svn_return_value - svn import -m "Import from OC" "XC95108-PC84.sym" "http://orsoc.se:4488/svn/board/XC95108-PC84.sym" - check_svn_return_value - popd - pushd "boundaries" - popd - pushd "brisc" - popd - pushd "butterfly" - popd - pushd "c16" - popd - pushd "cable" - popd - pushd "cachemodel" - popd - pushd "cam" - popd - pushd "camellia" - svn import -m "Import from OC" "camellia_core_tb.vhd" "http://orsoc.se:4488/svn/camellia/camellia_core_tb.vhd" - check_svn_return_value - svn import -m "Import from OC" "CAMELLIA_CORE.vhd" "http://orsoc.se:4488/svn/camellia/CAMELLIA_CORE.vhd" - check_svn_return_value - svn import -m "Import from OC" "Camellia_doc.pdf" "http://orsoc.se:4488/svn/camellia/Camellia_doc.pdf" - check_svn_return_value - popd - pushd "camellia-vhdl" - popd - pushd "can" - svn import -m "Import from OC" "CAN.gif" "http://orsoc.se:4488/svn/can/CAN.gif" - check_svn_return_value - popd - pushd "cas" - popd - pushd "cdma" - popd - pushd "cereon" - svn import -m "Import from OC" "AssemblerReference.pdf" "http://orsoc.se:4488/svn/cereon/AssemblerReference.pdf" - check_svn_return_value - svn import -m "Import from OC" "CereonArchitectureReferenceManual_Version1.pdf" "http://orsoc.se:4488/svn/cereon/CereonArchitectureReferenceManual_Version1.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcedureCallingStandards.pdf" "http://orsoc.se:4488/svn/cereon/ProcedureCallingStandards.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcessorIdentificationScheme.pdf" "http://orsoc.se:4488/svn/cereon/ProcessorIdentificationScheme.pdf" - check_svn_return_value - popd - pushd "cf_cordic" - svn import -m "Import from OC" "cf_cordic.tgz" "http://orsoc.se:4488/svn/cf_cordic/cf_cordic.tgz" - check_svn_return_value - popd - pushd "cf_fft" - svn import -m "Import from OC" "cf_fft_test_large.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test_large.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft_test.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft.tgz" - check_svn_return_value - popd - pushd "cf_fir" - svn import -m "Import from OC" "cf_fir.tgz" "http://orsoc.se:4488/svn/cf_fir/cf_fir.tgz" - check_svn_return_value - popd - pushd "cf_fp_mul" - svn import -m "Import from OC" "cf_fp_mul.tgz" "http://orsoc.se:4488/svn/cf_fp_mul/cf_fp_mul.tgz" - check_svn_return_value - popd - pushd "cfft" - popd - pushd "cfinterface" - popd - pushd "cf_interleaver" - svn import -m "Import from OC" "cf_interleaver.tgz" "http://orsoc.se:4488/svn/cf_interleaver/cf_interleaver.tgz" - check_svn_return_value - popd - pushd "cf_ldpc" - svn import -m "Import from OC" "cf_ldpc.tgz" "http://orsoc.se:4488/svn/cf_ldpc/cf_ldpc.tgz" - check_svn_return_value - popd - pushd "cf_rca" - svn import -m "Import from OC" "cf_rca.tgz" "http://orsoc.se:4488/svn/cf_rca/cf_rca.tgz" - check_svn_return_value - svn import -m "Import from OC" "rca_tile.png" "http://orsoc.se:4488/svn/cf_rca/rca_tile.png" - check_svn_return_value - popd - pushd "cf_ssp" - svn import -m "Import from OC" "cf_ssp.tgz" "http://orsoc.se:4488/svn/cf_ssp/cf_ssp.tgz" - check_svn_return_value - svn import -m "Import from OC" "ssp_cordic.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_cordic.c" - check_svn_return_value - svn import -m "Import from OC" "ssp_first_order.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_first_order.c" - check_svn_return_value - popd - pushd "cia" - popd - pushd "claw" - popd - pushd "clocklessalu" - popd - pushd "cmpct" - popd - pushd "c-nit_soc" - popd - pushd "color_converter" - popd - pushd "constellation_vga" - popd - pushd "const_encoder" - svn import -m "Import from OC" "Const_enc_oc.doc" "http://orsoc.se:4488/svn/const_encoder/Const_enc_oc.doc" - check_svn_return_value - svn import -m "Import from OC" "const_enc.vhd" "http://orsoc.se:4488/svn/const_encoder/const_enc.vhd" - check_svn_return_value - popd - pushd "cordic" - svn import -m "Import from OC" "cordic.pdf" "http://orsoc.se:4488/svn/cordic/cordic.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/cordic/index.shtml" - check_svn_return_value - popd - pushd "core_arm" - popd - pushd "cowgirl" - popd - pushd "cpu6502_true_cycle" - popd - pushd "cpu65c02_true_cycle" - popd - pushd "cpu8080" - popd - pushd "cpugen" - svn import -m "Import from OC" "cpugen.jpg" "http://orsoc.se:4488/svn/cpugen/cpugen.jpg" - check_svn_return_value - popd - pushd "cryptopan_core" - popd - pushd "cryptosorter" - svn import -m "Import from OC" "cryptosorter.pdf" "http://orsoc.se:4488/svn/cryptosorter/cryptosorter.pdf" - check_svn_return_value - popd - pushd "csa" - popd - pushd "dallas_one-wire" - popd - pushd "dct" - svn import -m "Import from OC" "dct.shtml" "http://orsoc.se:4488/svn/dct/dct.shtml" - check_svn_return_value - svn import -m "Import from OC" "dct.zip" "http://orsoc.se:4488/svn/dct/dct.zip" - check_svn_return_value - svn import -m "Import from OC" "htmlbook.shtml" "http://orsoc.se:4488/svn/dct/htmlbook.shtml" - check_svn_return_value - svn import -m "Import from OC" "modexp.shtml" "http://orsoc.se:4488/svn/dct/modexp.shtml" - check_svn_return_value - popd - pushd "ddr_sdr" - svn import -m "Import from OC" "ddr_sdr_V1_0.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_0.zip" - check_svn_return_value - svn import -m "Import from OC" "ddr_sdr_V1_1.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_1.zip" - check_svn_return_value - svn import -m "Import from OC" "doc" "http://orsoc.se:4488/svn/ddr_sdr/doc" - check_svn_return_value - svn import -m "Import from OC" "LICENSE.dat" "http://orsoc.se:4488/svn/ddr_sdr/LICENSE.dat" - check_svn_return_value - svn import -m "Import from OC" "vhdl" "http://orsoc.se:4488/svn/ddr_sdr/vhdl" - check_svn_return_value - popd - pushd "ddsgen" - popd - pushd "decoder" - svn import -m "Import from OC" "mp3_decoder.zip" "http://orsoc.se:4488/svn/decoder/mp3_decoder.zip" - check_svn_return_value - popd - pushd "deflatecore" - popd - pushd "des" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/des/index.shtml" - check_svn_return_value - popd - pushd "design_dsp320tmsc10_with_vhdl" - popd - pushd "dfp" - svn import -m "Import from OC" "dfp.gif" "http://orsoc.se:4488/svn/dfp/dfp.gif" - check_svn_return_value - svn import -m "Import from OC" "DFPV10.zip" "http://orsoc.se:4488/svn/dfp/DFPV10.zip" - check_svn_return_value - svn import -m "Import from OC" "V3.zip" "http://orsoc.se:4488/svn/dfp/V3.zip" - check_svn_return_value - popd - pushd "digifilter" - popd - pushd "diogenes" - svn import -m "Import from OC" "diogenes.tar.bz2" "http://orsoc.se:4488/svn/diogenes/diogenes.tar.bz2" - check_svn_return_value - popd - pushd "dirac" - popd - pushd "djpeg" - popd - pushd "dmacontroller" - popd - pushd "dmt_tx" - popd - pushd "dram" - svn import -m "Import from OC" "dram.html" "http://orsoc.se:4488/svn/dram/dram.html" - check_svn_return_value - svn import -m "Import from OC" "dram.shtml" "http://orsoc.se:4488/svn/dram/dram.shtml" - check_svn_return_value - popd - pushd "dualspartainc6713cpci" - svn import -m "Import from OC" "6713_CPU.pdf" "http://orsoc.se:4488/svn/dualspartainc6713cpci/6713_CPU.pdf" - check_svn_return_value - svn import -m "Import from OC" "BotLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/BotLayer.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_Front.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_Front.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_near_done_tiny.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_near_done_tiny.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid1Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid1Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid2Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid2Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemDiagram.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/SystemDiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "TopLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/TopLayer.jpg" - check_svn_return_value - popd - pushd "dwt2d" - svn import -m "Import from OC" "DIPC1.zip" "http://orsoc.se:4488/svn/dwt2d/DIPC1.zip" - check_svn_return_value - popd - pushd "e123mux" - svn import -m "Import from OC" "Block_Diagram.jpg" "http://orsoc.se:4488/svn/e123mux/Block_Diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "E123MUX_Core.pdf" "http://orsoc.se:4488/svn/e123mux/E123MUX_Core.pdf" - check_svn_return_value - popd - pushd "e1framer" - popd - pushd "e1framerdeframer" - svn import -m "Import from OC" "e1_framer.zip" "http://orsoc.se:4488/svn/e1framerdeframer/e1_framer.zip" - check_svn_return_value - svn import -m "Import from OC" "fas_insert.vhd" "http://orsoc.se:4488/svn/e1framerdeframer/fas_insert.vhd" - check_svn_return_value - popd - pushd "edatools" - popd - pushd "elevator" - popd - pushd "elphel_353" - popd - pushd "embedded_risc" - svn import -m "Import from OC" "Block_Diagram" "http://orsoc.se:4488/svn/embedded_risc/Block_Diagram" - check_svn_return_value - popd - pushd "embed_z8" - popd - pushd "epp" - svn import -m "Import from OC" "epp.jpg" "http://orsoc.se:4488/svn/epp/epp.jpg" - check_svn_return_value - popd - pushd "epp-interface-v" - popd - pushd "epp-to-wishbone" - popd - pushd "erp" - svn import -m "Import from OC" "ERPTechnicalReport4.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport4.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPTechnicalReport5.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport5.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPverilogcore.txt" "http://orsoc.se:4488/svn/erp/ERPverilogcore.txt" - check_svn_return_value - popd - pushd "ethdev" - popd - pushd "ethernet_tri_mode" - svn import -m "Import from OC" "ethernet_tri_mode.rel-1-0.tar.gz" "http://orsoc.se:4488/svn/ethernet_tri_mode/ethernet_tri_mode.rel-1-0.tar.gz" - check_svn_return_value - popd - pushd "ethmac10g" - popd - pushd "ethmacvhdl" - popd - pushd "ethswitch" - popd - pushd "eus100lx" - svn import -m "Import from OC" "180px-EUS_B_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_B_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "180px-EUS_T_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_T_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS100LX_BD.gif" "http://orsoc.se:4488/svn/eus100lx/EUS100LX_BD.gif" - check_svn_return_value - popd - pushd "eusfs" - svn import -m "Import from OC" "eusfs-bd.jpg" "http://orsoc.se:4488/svn/eusfs/eusfs-bd.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUSIIa_bottom_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUSIIa_bottom_tn.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS_II_topa_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUS_II_topa_tn.jpg" - check_svn_return_value - popd - pushd "evision" - popd - pushd "extension_pack" - popd - pushd "fac2222m" - svn import -m "Import from OC" "ADC-DAC-AMP.png" "http://orsoc.se:4488/svn/fac2222m/ADC-DAC-AMP.png" - check_svn_return_value - svn import -m "Import from OC" "fac2222m.png" "http://orsoc.se:4488/svn/fac2222m/fac2222m.png" - check_svn_return_value - popd - pushd "fast-crc" - svn import -m "Import from OC" "CRC-generator.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC-generator.tgz" - check_svn_return_value - svn import -m "Import from OC" "CRC_ie3_contest.pdf" "http://orsoc.se:4488/svn/fast-crc/CRC_ie3_contest.pdf" - check_svn_return_value - svn import -m "Import from OC" "CRC.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC.tgz" - check_svn_return_value - svn import -m "Import from OC" "Readme" "http://orsoc.se:4488/svn/fast-crc/Readme" - check_svn_return_value - popd - pushd "fbas_encoder" - svn import -m "Import from OC" "chroma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/chroma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "connect.png" "http://orsoc.se:4488/svn/fbas_encoder/connect.png" - check_svn_return_value - svn import -m "Import from OC" "fbas_encoder-0.21.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas_encoder-0.21.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-encoder_0.31.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas-encoder_0.31.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-enc_scrs1.jpg" "http://orsoc.se:4488/svn/fbas_encoder/fbas-enc_scrs1.jpg" - check_svn_return_value - svn import -m "Import from OC" "luma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/luma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "main.png" "http://orsoc.se:4488/svn/fbas_encoder/main.png" - check_svn_return_value - popd - pushd "fcpu" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/fcpu/*" - check_svn_return_value - popd - pushd "ffr16" - svn import -m "Import from OC" "FFR16.jpg" "http://orsoc.se:4488/svn/ffr16/FFR16.jpg" - check_svn_return_value - popd - pushd "fft_32" - popd - pushd "fftprocessor" - popd - pushd "fht" - svn import -m "Import from OC" "fht_tb.v" "http://orsoc.se:4488/svn/fht/fht_tb.v" - check_svn_return_value - svn import -m "Import from OC" "fht.v" "http://orsoc.se:4488/svn/fht/fht.v" - check_svn_return_value - popd - pushd "fifouart" - svn import -m "Import from OC" "UART_datasheet.pdf" "http://orsoc.se:4488/svn/fifouart/UART_datasheet.pdf" - check_svn_return_value - popd - pushd "filter" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/filter/*" - check_svn_return_value - popd - pushd "firewire" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/firewire/index.shtml" - check_svn_return_value - popd - pushd "fir_filter_generator" - svn import -m "Import from OC" "design-of-high-speed.pdf" "http://orsoc.se:4488/svn/fir_filter_generator/design-of-high-speed.pdf" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.0.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.1.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.1.zip" - check_svn_return_value - popd - pushd "flha" - popd - pushd "floatingcore" - popd - pushd "floating_point_adder_subtractor" - svn import -m "Import from OC" "addsub.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/addsub.vhd" - check_svn_return_value - svn import -m "Import from OC" "normalize.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/normalize.vhd" - check_svn_return_value - svn import -m "Import from OC" "shift.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/shift.vhd" - check_svn_return_value - popd - pushd "floppyif" - popd - pushd "fmtransmitter" - popd - pushd "fpga" - svn import -m "Import from OC" "docs.jar" "http://orsoc.se:4488/svn/fpga/docs.jar" - check_svn_return_value - svn import -m "Import from OC" "examples.jar" "http://orsoc.se:4488/svn/fpga/examples.jar" - check_svn_return_value - svn import -m "Import from OC" "Fpga.pdf" "http://orsoc.se:4488/svn/fpga/Fpga.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpga_sw.pdf" "http://orsoc.se:4488/svn/fpga/fpga_sw.pdf" - check_svn_return_value - svn import -m "Import from OC" "gpl.txt" "http://orsoc.se:4488/svn/fpga/gpl.txt" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.jar" "http://orsoc.se:4488/svn/fpga/KRPAN.jar" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.zip" "http://orsoc.se:4488/svn/fpga/KRPAN.zip" - check_svn_return_value - svn import -m "Import from OC" "opencores.cer" "http://orsoc.se:4488/svn/fpga/opencores.cer" - check_svn_return_value - svn import -m "Import from OC" "pwm12_8s.v" "http://orsoc.se:4488/svn/fpga/pwm12_8s.v" - check_svn_return_value - svn import -m "Import from OC" "sources.jar" "http://orsoc.se:4488/svn/fpga/sources.jar" - check_svn_return_value - svn import -m "Import from OC" "sshot1.gif" "http://orsoc.se:4488/svn/fpga/sshot1.gif" - check_svn_return_value - popd - pushd "fpgabsp" - popd - pushd "fpgaconfig" - svn import -m "Import from OC" "altera_config.png" "http://orsoc.se:4488/svn/fpgaconfig/altera_config.png" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig_system_block_diag.gif" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig_system_block_diag.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig.zip" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig.zip" - check_svn_return_value - popd - pushd "fpgaproto" - popd - pushd "fpipelines" - popd - pushd "fpu" - svn import -m "Import from OC" "DEADJOE" "http://orsoc.se:4488/svn/fpu/DEADJOE" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpu/index.shtml" - check_svn_return_value - popd - pushd "fpu100" - svn import -m "Import from OC" "bug_report_260407.txt" "http://orsoc.se:4488/svn/fpu100/bug_report_260407.txt" - check_svn_return_value - svn import -m "Import from OC" "fpu_doc.pdf" "http://orsoc.se:4488/svn/fpu100/fpu_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpu_v18.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v18.zip" - check_svn_return_value - svn import -m "Import from OC" "fpu_v19.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v19.zip" - check_svn_return_value - popd - pushd "fpu32bit" - popd - pushd "fpuvhdl" - popd - pushd "freetools" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/freetools/*" - check_svn_return_value - popd - pushd "froop" - popd - pushd "fsl2serial" - popd - pushd "gamepads" - svn import -m "Import from OC" "gcpad.png" "http://orsoc.se:4488/svn/gamepads/gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad.png" "http://orsoc.se:4488/svn/gamepads/snespad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/snespad_wire.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gcpad.png" "http://orsoc.se:4488/svn/gamepads/thumb_gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad.png" "http://orsoc.se:4488/svn/gamepads/thumb_snespad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/thumb_snespad_wire.jpg" - check_svn_return_value - popd - pushd "gcpu" - popd - pushd "generic_fifos" - popd - pushd "generic_fifovhd" - popd - pushd "gh_vhdl_library" - svn import -m "Import from OC" "gh_vhdl_lib_3_34.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_34.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_35.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_35.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_36.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_36.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_34.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_34.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_35.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_35.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_36.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_36.zip" - check_svn_return_value - popd - pushd "gig_ethernet_mac_core" - popd - pushd "gix96" - popd - pushd "gpio" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/gpio/index.shtml" - check_svn_return_value - popd - pushd "graphicallcd" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/graphicallcd/index.shtml" - check_svn_return_value - popd - pushd "graphiti" - svn import -m "Import from OC" "blockschaltbild.png" "http://orsoc.se:4488/svn/graphiti/blockschaltbild.png" - check_svn_return_value - svn import -m "Import from OC" "flowers.jpg" "http://orsoc.se:4488/svn/graphiti/flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "testbild.jpg" "http://orsoc.se:4488/svn/graphiti/testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/tflowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_flowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_testbild.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_tflowers.jpg" - check_svn_return_value - popd - pushd "gsc" - svn import -m "Import from OC" "btyacc.tar.gz" "http://orsoc.se:4488/svn/gsc/btyacc.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "graphviz-2.8.tar.gz" "http://orsoc.se:4488/svn/gsc/graphviz-2.8.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc-0.1.1.tar.gz" "http://orsoc.se:4488/svn/gsc/gsc-0.1.1.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc.pdf" "http://orsoc.se:4488/svn/gsc/gsc.pdf" - check_svn_return_value - svn import -m "Import from OC" "keystone.tar.gz" "http://orsoc.se:4488/svn/gsc/keystone.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "treecc-0.3.8.tar.gz" "http://orsoc.se:4488/svn/gsc/treecc-0.3.8.tar.gz" - check_svn_return_value - popd - pushd "gup" - svn import -m "Import from OC" "gator_ucomputer_v1.0.zip" "http://orsoc.se:4488/svn/gup/gator_ucomputer_v1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/gup_logo_thumb.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/thumb_gup_logo_thumb.jpg" - check_svn_return_value - popd - pushd "gzip" - popd - pushd "hamming" - popd - pushd "hamming_gen" - svn import -m "Import from OC" "hamming.zip" "http://orsoc.se:4488/svn/hamming_gen/hamming.zip" - check_svn_return_value - popd - pushd "hangyu" - popd - pushd "hasm" - popd - pushd "hdb3" - popd - pushd "hdbn" - popd - pushd "hdlc" - svn import -m "Import from OC" "HDLC_cont.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_cont.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.jpg" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.jpg" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.html" "http://orsoc.se:4488/svn/hdlc/hdlc_project.html" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.pdf" "http://orsoc.se:4488/svn/hdlc/hdlc_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_project.ps" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_top.ps" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/hdlc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/hdlc/wishlogo.ps" - check_svn_return_value - popd - pushd "help" - svn import -m "Import from OC" "exp1pf.gif" "http://orsoc.se:4488/svn/help/exp1pf.gif" - check_svn_return_value - svn import -m "Import from OC" "search.shtml" "http://orsoc.se:4488/svn/help/search.shtml" - check_svn_return_value - popd - pushd "hicovec" - popd - pushd "hierarch_unit" - popd - pushd "hmta" - popd - pushd "houmway" - popd - pushd "hpc-16" - popd - pushd "hpcmemory" - popd - pushd "hssdrc" - popd - pushd "ht_tunnel" - popd - pushd "hwlu" - popd - pushd "i2c" - svn import -m "Import from OC" "Block.gif" "http://orsoc.se:4488/svn/i2c/Block.gif" - check_svn_return_value - svn import -m "Import from OC" "i2c_rev03.pdf" "http://orsoc.se:4488/svn/i2c/i2c_rev03.pdf" - check_svn_return_value - svn import -m "Import from OC" "index_orig.shtml" "http://orsoc.se:4488/svn/i2c/index_orig.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/i2c/index.shtml" - check_svn_return_value - popd - pushd "i2clog" - svn import -m "Import from OC" "Documentation" "http://orsoc.se:4488/svn/i2clog/Documentation" - check_svn_return_value - svn import -m "Import from OC" "front" "http://orsoc.se:4488/svn/i2clog/front" - check_svn_return_value - svn import -m "Import from OC" "I2C_TrafficLogger.v" "http://orsoc.se:4488/svn/i2clog/I2C_TrafficLogger.v" - check_svn_return_value - popd - pushd "i2c_master_slave_core" - popd - pushd "i2c_slave" - svn import -m "Import from OC" "iic_slave_3.v" "http://orsoc.se:4488/svn/i2c_slave/iic_slave_3.v" - check_svn_return_value - popd - pushd "i2c_vhdl" - popd - pushd "i2s" - svn import -m "Import from OC" "dff.vhd" "http://orsoc.se:4488/svn/i2s/dff.vhd" - check_svn_return_value - svn import -m "Import from OC" "ebu_2_i2s.vhd" "http://orsoc.se:4488/svn/i2s/ebu_2_i2s.vhd" - check_svn_return_value - popd - pushd "i2s_interface" - svn import -m "Import from OC" "i2s_interface.zip" "http://orsoc.se:4488/svn/i2s_interface/i2s_interface.zip" - check_svn_return_value - popd - pushd "i2sparalell" - popd - pushd "ic6821" - svn import -m "Import from OC" "VHDL6821.vhd" "http://orsoc.se:4488/svn/ic6821/VHDL6821.vhd" - check_svn_return_value - popd - pushd "icu" - popd - pushd "ide" - popd - pushd "idea" - svn import -m "Import from OC" "block_opmode.tar.gz" "http://orsoc.se:4488/svn/idea/block_opmode.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "control.tar.gz" "http://orsoc.se:4488/svn/idea/control.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA core block.GIF" "http://orsoc.se:4488/svn/idea/IDEA core block.GIF" - check_svn_return_value - svn import -m "Import from OC" "idea_machine.tar.gz" "http://orsoc.se:4488/svn/idea/idea_machine.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA mechine block.GIF" "http://orsoc.se:4488/svn/idea/IDEA mechine block.GIF" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/idea/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "keys_generate.tar.gz" "http://orsoc.se:4488/svn/idea/keys_generate.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Paper_IES2001_sby.PDF" "http://orsoc.se:4488/svn/idea/Paper_IES2001_sby.PDF" - check_svn_return_value - svn import -m "Import from OC" "port_inout.tar.gz" "http://orsoc.se:4488/svn/idea/port_inout.tar.gz" - check_svn_return_value - popd - pushd "iiepci" - svn import -m "Import from OC" "iie_pci_back.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_back.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_diagram.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_front.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_front.jpg" - check_svn_return_value - popd - pushd "ima-adpcm" - popd - pushd "interface_vga80x40" - svn import -m "Import from OC" "FPGA_VGA_Electrical_Interface.png" "http://orsoc.se:4488/svn/interface_vga80x40/FPGA_VGA_Electrical_Interface.png" - check_svn_return_value - svn import -m "Import from OC" "if_vga80x40.zip" "http://orsoc.se:4488/svn/interface_vga80x40/if_vga80x40.zip" - check_svn_return_value - svn import -m "Import from OC" "VGA80x40_documentation.pdf" "http://orsoc.se:4488/svn/interface_vga80x40/VGA80x40_documentation.pdf" - check_svn_return_value - popd - pushd "ipchip" - popd - pushd "irda" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/irda/index.shtml" - check_svn_return_value - popd - pushd "iso7816-3" - svn import -m "Import from OC" "iso7816-3.tgz" "http://orsoc.se:4488/svn/iso7816-3/iso7816-3.tgz" - check_svn_return_value - popd - pushd "isp" - popd - pushd "jop" - popd - pushd "jpeg" - svn import -m "Import from OC" "DiagramaCompJPGen.png" "http://orsoc.se:4488/svn/jpeg/DiagramaCompJPGen.png" - check_svn_return_value - svn import -m "Import from OC" "floresconsubsamp211.jpg" "http://orsoc.se:4488/svn/jpeg/floresconsubsamp211.jpg" - check_svn_return_value - svn import -m "Import from OC" "floressinsubsamp.jpg" "http://orsoc.se:4488/svn/jpeg/floressinsubsamp.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ05PSP.JPG" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ05PSP.JPG" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ31.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ31.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ50.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ50.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosPSPQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosPSPQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosQ15.jpg" - check_svn_return_value - popd - pushd "jpegcompression" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/jpegcompression/*" - check_svn_return_value - popd - pushd "jtag" - svn import -m "Import from OC" "Boundary-Scan Architecture.pdf" "http://orsoc.se:4488/svn/jtag/Boundary-Scan Architecture.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/jtag/index.shtml" - check_svn_return_value - popd - pushd "k68" - popd - pushd "k7_viterbi_decoder" - popd - pushd "kad" - popd - pushd "kcpsm3_interrupt_handling" - popd - pushd "keyboardcontroller" - popd - pushd "keypad_scanner" - svn import -m "Import from OC" "keypad_scanner.v" "http://orsoc.se:4488/svn/keypad_scanner/keypad_scanner.v" - check_svn_return_value - popd - pushd "kiss-board" - popd - pushd "ksystem" - popd - pushd "l8051" - svn import -m "Import from OC" "L8051.tar" "http://orsoc.se:4488/svn/l8051/L8051.tar" - check_svn_return_value - popd - pushd "lcd" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/lcd/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterc.shtml" "http://orsoc.se:4488/svn/lcd/counterc.shtml" - check_svn_return_value - svn import -m "Import from OC" "counter.shtml" "http://orsoc.se:4488/svn/lcd/counter.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterv.shtml" "http://orsoc.se:4488/svn/lcd/counterv.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderc.shtml" "http://orsoc.se:4488/svn/lcd/decoderc.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderv.shtml" "http://orsoc.se:4488/svn/lcd/decoderv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresc.shtml" "http://orsoc.se:4488/svn/lcd/dffresc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresv.shtml" "http://orsoc.se:4488/svn/lcd/dffresv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dflipflop.shtml" "http://orsoc.se:4488/svn/lcd/dflipflop.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/lcd/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "LCD.ht1.gif" "http://orsoc.se:4488/svn/lcd/LCD.ht1.gif" - check_svn_return_value - svn import -m "Import from OC" "lcd.zip" "http://orsoc.se:4488/svn/lcd/lcd.zip" - check_svn_return_value - svn import -m "Import from OC" "mcc.shtml" "http://orsoc.se:4488/svn/lcd/mcc.shtml" - check_svn_return_value - svn import -m "Import from OC" "mcv.shtml" "http://orsoc.se:4488/svn/lcd/mcv.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramc.shtml" "http://orsoc.se:4488/svn/lcd/ramc.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramv.shtml" "http://orsoc.se:4488/svn/lcd/ramv.shtml" - check_svn_return_value - svn import -m "Import from OC" "struct.shtml" "http://orsoc.se:4488/svn/lcd/struct.shtml" - check_svn_return_value - svn import -m "Import from OC" "test.shtml" "http://orsoc.se:4488/svn/lcd/test.shtml" - check_svn_return_value - popd - pushd "lcd1" - popd - pushd "lcd_controller" - svn import -m "Import from OC" "AP.zip" "http://orsoc.se:4488/svn/lcd_controller/AP.zip" - check_svn_return_value - svn import -m "Import from OC" "CM920TUserGuide.pdf" "http://orsoc.se:4488/svn/lcd_controller/CM920TUserGuide.pdf" - check_svn_return_value - svn import -m "Import from OC" "ColorTFT-LCDController.ppt" "http://orsoc.se:4488/svn/lcd_controller/ColorTFT-LCDController.ppt" - check_svn_return_value - svn import -m "Import from OC" "DUI0146C_LM600.pdf" "http://orsoc.se:4488/svn/lcd_controller/DUI0146C_LM600.pdf" - check_svn_return_value - svn import -m "Import from OC" "tx18d16vm1caa.pdf" "http://orsoc.se:4488/svn/lcd_controller/tx18d16vm1caa.pdf" - check_svn_return_value - popd - pushd "ldpc_decoder_802_3an" - svn import -m "Import from OC" "ldpc_decoder_802_3an.tar.gz" "http://orsoc.se:4488/svn/ldpc_decoder_802_3an/ldpc_decoder_802_3an.tar.gz" - check_svn_return_value - popd - pushd "ldpc_encoder_802_3an" - svn import -m "Import from OC" "ldpc_encoder_802_3an.v.gz" "http://orsoc.se:4488/svn/ldpc_encoder_802_3an/ldpc_encoder_802_3an.v.gz" - check_svn_return_value - popd - pushd "lem1_9min" - svn import -m "Import from OC" "d3_lem1_9min_hw.ucf" "http://orsoc.se:4488/svn/lem1_9min/d3_lem1_9min_hw.ucf" - check_svn_return_value - svn import -m "Import from OC" "Form1.cs" "http://orsoc.se:4488/svn/lem1_9min/Form1.cs" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_asm.csproj" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_asm.csproj" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_defs.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_defs.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_hw.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_hw.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min.vhd" - check_svn_return_value - svn import -m "Import from OC" "trinity_talk_041205.pdf" "http://orsoc.se:4488/svn/lem1_9min/trinity_talk_041205.pdf" - check_svn_return_value - popd - pushd "light8080" - popd - pushd "lin-a" - popd - pushd "line_codes" - popd - pushd "linuxvcap" - popd - pushd "llc1394" - popd - pushd "log_anal" - popd - pushd "lowpowerfir" - svn import -m "Import from OC" "FIRLowPowerConsiderations.doc" "http://orsoc.se:4488/svn/lowpowerfir/FIRLowPowerConsiderations.doc" - check_svn_return_value - svn import -m "Import from OC" "fir.zip" "http://orsoc.se:4488/svn/lowpowerfir/fir.zip" - check_svn_return_value - popd - pushd "lpc" - popd - pushd "lpu" - svn import -m "Import from OC" "lpu.zip" "http://orsoc.se:4488/svn/lpu/lpu.zip" - check_svn_return_value - svn import -m "Import from OC" "Mem Driven Processor.doc" "http://orsoc.se:4488/svn/lpu/Mem Driven Processor.doc" - check_svn_return_value - popd - pushd "lq057q3dc02" - popd - pushd "lwmips" - popd - pushd "lwrisc" - svn import -m "Import from OC" "200735153855.bmp" "http://orsoc.se:4488/svn/lwrisc/200735153855.bmp" - check_svn_return_value - svn import -m "Import from OC" "200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_we.GIF" "http://orsoc.se:4488/svn/lwrisc/thumb_we.GIF" - check_svn_return_value - svn import -m "Import from OC" "we.GIF" "http://orsoc.se:4488/svn/lwrisc/we.GIF" - check_svn_return_value - popd - pushd "m1_core" - popd - pushd "mac" - popd - pushd "macroblock_motion_detection" - popd - pushd "maf" - popd - pushd "mafa-pc-board" - popd - pushd "man2uart" - svn import -m "Import from OC" "Man2uartopencores.txt" "http://orsoc.se:4488/svn/man2uart/Man2uartopencores.txt" - check_svn_return_value - popd - pushd "manchesterencoderdecoder" - svn import -m "Import from OC" "ME2.vhd" "http://orsoc.se:4488/svn/manchesterencoderdecoder/ME2.vhd" - check_svn_return_value - popd - pushd "marca" - popd - pushd "matrix3x3" - popd - pushd "maxii-evalboard" - svn import -m "Import from OC" "MAXII-Evalboard-V1.00-Designpackage.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard-V1.00-Designpackage.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_a.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_a.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_b.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_b.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_BOM.xls" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_BOM.xls" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Gerber&CAM.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Gerber&CAM.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB-Errata.txt" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB-Errata.txt" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Placement.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Placement.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Protel.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Protel.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Schem.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Schem.pdf" - check_svn_return_value - popd - pushd "mb-jpeg" - svn import -m "Import from OC" "mb-jpeg_STEP2_1b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_1b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP2_2b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_2b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP7_2.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP7_2.tar.bz2" - check_svn_return_value - popd - pushd "mcbsp" - popd - pushd "mcpu" - svn import -m "Import from OC" "mcpu_1.06b.zip" "http://orsoc.se:4488/svn/mcpu/mcpu_1.06b.zip" - check_svn_return_value - svn import -m "Import from OC" "mcpu-doc.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu-doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "mcpu.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu.pdf" - check_svn_return_value - popd - pushd "mcu8" - popd - pushd "md5" - popd - pushd "mdct" - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/mdct/block_diagram.jpg" - check_svn_return_value - popd - pushd "membist" - popd - pushd "mem_ctrl" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mem_ctrl/index.shtml" - check_svn_return_value - popd - pushd "memorycontroller" - popd - pushd "memory_cores" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_cores/index.shtml" - check_svn_return_value - popd - pushd "memory_sizer" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b10_safe_12_18_01_single_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_single_path.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/memory_sizer/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/memory_sizer/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_sizer/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer_dual_path.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer_dual_path.v" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer.v" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/memory_sizer/people.shtml" - check_svn_return_value - popd - pushd "mfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block.gif" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block_new.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block_new.gif" - check_svn_return_value - svn import -m "Import from OC" "micro_orcad.sch" "http://orsoc.se:4488/svn/mfpga/micro_orcad.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.lib" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.lib" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.sch" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_sch.pdf" "http://orsoc.se:4488/svn/mfpga/micro_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "xcv50.jpg" "http://orsoc.se:4488/svn/mfpga/xcv50.jpg" - check_svn_return_value - popd - pushd "micore" - popd - pushd "microprocessor" - popd - pushd "milsa" - popd - pushd "milstd1553bbusprotocol" - popd - pushd "mini-acex1k" - popd - pushd "mini_aes" - popd - pushd "minimips" - svn import -m "Import from OC" "miniMIPS.zip" "http://orsoc.se:4488/svn/minimips/miniMIPS.zip" - check_svn_return_value - popd - pushd "minirisc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/minirisc/index.shtml" - check_svn_return_value - popd - pushd "mips789" - svn import -m "Import from OC" "cal_PI_2.GIF" "http://orsoc.se:4488/svn/mips789/cal_PI_2.GIF" - check_svn_return_value - svn import -m "Import from OC" "MIPS789.bmp" "http://orsoc.se:4488/svn/mips789/MIPS789.bmp" - check_svn_return_value - svn import -m "Import from OC" "pi_2200.GIF" "http://orsoc.se:4488/svn/mips789/pi_2200.GIF" - check_svn_return_value - svn import -m "Import from OC" "topview.GIF" "http://orsoc.se:4488/svn/mips789/topview.GIF" - check_svn_return_value - popd - pushd "mipss" - svn import -m "Import from OC" "s70_32bit_to_9bit.vhd" "http://orsoc.se:4488/svn/mipss/s70_32bit_to_9bit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ALU.vhd" "http://orsoc.se:4488/svn/mipss/s70_ALU.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ctrl_unit.vhd" "http://orsoc.se:4488/svn/mipss/s70_ctrl_unit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem_comp.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem_comp.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_datapath.vhd" "http://orsoc.se:4488/svn/mipss/s70_datapath.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_Ext_S_Z.vhd" "http://orsoc.se:4488/svn/mipss/s70_Ext_S_Z.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_inc.vhd" "http://orsoc.se:4488/svn/mipss/s70_inc.vhd" - check_svn_return_value - popd - pushd "mmcfpgaconfig" - popd - pushd "moonshadow" - popd - pushd "most" - svn import -m "Import from OC" "MOST_Core_Compliance_Test_Specification.pdf" "http://orsoc.se:4488/svn/most/MOST_Core_Compliance_Test_Specification.pdf" - check_svn_return_value - svn import -m "Import from OC" "MOSTSpecification.pdf" "http://orsoc.se:4488/svn/most/MOSTSpecification.pdf" - check_svn_return_value - popd - pushd "most_core" - popd - pushd "motion_controller" - popd - pushd "motionestimator" - popd - pushd "motor" - popd - pushd "mp3decoder" - popd - pushd "mpdma" - svn import -m "Import from OC" "BlazeCluster_v0.14.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.14.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeCluster_v0.15.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.15.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.17.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.17.zip" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.1.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.1.zip" - check_svn_return_value - svn import -m "Import from OC" "koblenz8_20070902.zip" "http://orsoc.se:4488/svn/mpdma/koblenz8_20070902.zip" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061020.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061020.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023b.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023c.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023c.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "SoftwareMultiprocessoronFPGA20070608.pdf" "http://orsoc.se:4488/svn/mpdma/SoftwareMultiprocessoronFPGA20070608.pdf" - check_svn_return_value - popd - pushd "mpeg2decoder" - popd - pushd "mpeg4_video_coding" - popd - pushd "mpegencoderdecoder" - popd - pushd "mup" - popd - pushd "ncore" - svn import -m "Import from OC" "CASM.C" "http://orsoc.se:4488/svn/ncore/CASM.C" - check_svn_return_value - svn import -m "Import from OC" "NCORE2.V" "http://orsoc.se:4488/svn/ncore/NCORE2.V" - check_svn_return_value - svn import -m "Import from OC" "NCORE3.V" "http://orsoc.se:4488/svn/ncore/NCORE3.V" - check_svn_return_value - svn import -m "Import from OC" "nCore_doc.pdf" "http://orsoc.se:4488/svn/ncore/nCore_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "NCORE.tar.bz2" "http://orsoc.se:4488/svn/ncore/NCORE.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "nCore.v" "http://orsoc.se:4488/svn/ncore/nCore.v" - check_svn_return_value - svn import -m "Import from OC" "SIM.C" "http://orsoc.se:4488/svn/ncore/SIM.C" - check_svn_return_value - popd - pushd "nemo_emotion" - popd - pushd "neot" - popd - pushd "neptune-core" - svn import -m "Import from OC" "triton-block.png" "http://orsoc.se:4488/svn/neptune-core/triton-block.png" - check_svn_return_value - popd - pushd "nnARM" - svn import -m "Import from OC" "Arch118.pdf" "http://orsoc.se:4488/svn/nnARM/Arch118.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf.old" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf.old" - check_svn_return_value - svn import -m "Import from OC" "Architecture_jc.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture_jc.pdf" - check_svn_return_value - svn import -m "Import from OC" "BS.shtml" "http://orsoc.se:4488/svn/nnARM/BS.shtml" - check_svn_return_value - svn import -m "Import from OC" "default.htm" "http://orsoc.se:4488/svn/nnARM/default.htm" - check_svn_return_value - svn import -m "Import from OC" "Documentation.shtml" "http://orsoc.se:4488/svn/nnARM/Documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "Download.shtml" "http://orsoc.se:4488/svn/nnARM/Download.shtml" - check_svn_return_value - svn import -m "Import from OC" "GT.shtml" "http://orsoc.se:4488/svn/nnARM/GT.shtml" - check_svn_return_value - svn import -m "Import from OC" "index1.shtml" "http://orsoc.se:4488/svn/nnARM/index1.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml1" "http://orsoc.se:4488/svn/nnARM/index.shtml1" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/nnARM/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "Introduction.shtml" "http://orsoc.se:4488/svn/nnARM/Introduction.shtml" - check_svn_return_value - svn import -m "Import from OC" "News.htm" "http://orsoc.se:4488/svn/nnARM/News.htm" - check_svn_return_value - svn import -m "Import from OC" "News.shtml" "http://orsoc.se:4488/svn/nnARM/News.shtml" - check_svn_return_value - svn import -m "Import from OC" "nnARM.prog" "http://orsoc.se:4488/svn/nnARM/nnARM.prog" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_10_1.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_10_1.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_19.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_19.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_20.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_20.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_09_02.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_09_02.zip" - check_svn_return_value - svn import -m "Import from OC" "People.htm" "http://orsoc.se:4488/svn/nnARM/People.htm" - check_svn_return_value - svn import -m "Import from OC" "People.shtml" "http://orsoc.se:4488/svn/nnARM/People.shtml" - check_svn_return_value - svn import -m "Import from OC" "PR.shtml" "http://orsoc.se:4488/svn/nnARM/PR.shtml" - check_svn_return_value - svn import -m "Import from OC" "put.JPG" "http://orsoc.se:4488/svn/nnARM/put.JPG" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_08_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_08_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_15_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_15_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_19_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_19_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_20_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_20_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_30_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_30_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_08_30_3.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_08_30_3.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_02_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_02_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_05_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_05_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_11_1_3.zip.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_11_1_3.zip.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM_tb.zip" "http://orsoc.se:4488/svn/nnARM/sARM_tb.zip" - check_svn_return_value - svn import -m "Import from OC" "tag3.bmp" "http://orsoc.se:4488/svn/nnARM/tag3.bmp" - check_svn_return_value - svn import -m "Import from OC" "Testbench" "http://orsoc.se:4488/svn/nnARM/Testbench" - check_svn_return_value - svn import -m "Import from OC" "topFrame.htm" "http://orsoc.se:4488/svn/nnARM/topFrame.htm" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.jpg" "http://orsoc.se:4488/svn/nnARM/wishlogo.jpg" - check_svn_return_value - popd - pushd "nocem" - popd - pushd "noise_reduction" - popd - pushd "nonrestoringsquareroot" - popd - pushd "nova" - popd - pushd "npigrctrl" - svn import -m "Import from OC" "demo.png" "http://orsoc.se:4488/svn/npigrctrl/demo.png" - check_svn_return_value - svn import -m "Import from OC" "mpmc4.rar" "http://orsoc.se:4488/svn/npigrctrl/mpmc4.rar" - check_svn_return_value - svn import -m "Import from OC" "npi_eng.vhd" "http://orsoc.se:4488/svn/npigrctrl/npi_eng.vhd" - check_svn_return_value - popd - pushd "oab1" - svn import -m "Import from OC" "index.htm" "http://orsoc.se:4488/svn/oab1/index.htm" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/oab1/title_logo.gif" - check_svn_return_value - svn import -m "Import from OC" "ver01.JPG" "http://orsoc.se:4488/svn/oab1/ver01.JPG" - check_svn_return_value - svn import -m "Import from OC" "ver02.jpg" "http://orsoc.se:4488/svn/oab1/ver02.jpg" - check_svn_return_value - popd - pushd "oberon" - popd - pushd "ocmips" - svn import -m "Import from OC" "fpga.gif" "http://orsoc.se:4488/svn/ocmips/fpga.gif" - check_svn_return_value - svn import -m "Import from OC" "opencores.gif" "http://orsoc.se:4488/svn/ocmips/opencores.gif" - check_svn_return_value - svn import -m "Import from OC" "sim.GIF" "http://orsoc.se:4488/svn/ocmips/sim.GIF" - check_svn_return_value - popd - pushd "ocp_wb_wrapper" - popd - pushd "ocrp-1" - svn import -m "Import from OC" "block.gif" "http://orsoc.se:4488/svn/ocrp-1/block.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ocrp-1/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_bill_of_materials.txt" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_bill_of_materials.txt" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_gerber.tar.gz" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_gerber.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ocrp1.jpg" "http://orsoc.se:4488/svn/ocrp-1/ocrp1.jpg" - check_svn_return_value - svn import -m "Import from OC" "ocrp1ord.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp1ord.pdf" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_sch.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCB1-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB1-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCB2-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB2-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic1.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic1.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic2.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic2.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic3.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic3.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic4.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic4.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic7.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic7.jpg" - check_svn_return_value - svn import -m "Import from OC" "xc95288xl_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xc95288xl_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv100_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv100_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv50_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv50_tq144.bsd" - check_svn_return_value - popd - pushd "ofdm" - popd - pushd "ofdm-baseband-receiver" - popd - pushd "ofdm_modulator" - popd - pushd "oks8" - popd - pushd "omega" - popd - pushd "opb_i2c" - popd - pushd "opb_isa" - popd - pushd "opb_onewire" - popd - pushd "opb_ps2_keyboard_controller" - popd - pushd "opb_psram_controller" - popd - pushd "opb_udp_transceiver" - popd - pushd "opb_vga_char_display_nodac" - popd - pushd "opb_wb_wrapper" - popd - pushd "open_1394_intellectual_property" - popd - pushd "open8_urisc" - popd - pushd "openarm" - popd - pushd "opencores" - svn import -m "Import from OC" "27dec03_IrishTimes.pdf" "http://orsoc.se:4488/svn/opencores/27dec03_IrishTimes.pdf" - check_svn_return_value - svn import -m "Import from OC" "bottom.jpg" "http://orsoc.se:4488/svn/opencores/bottom.jpg" - check_svn_return_value - svn import -m "Import from OC" "dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "logos" "http://orsoc.se:4488/svn/opencores/logos" - check_svn_return_value - svn import -m "Import from OC" "mdl_logo.jpg" "http://orsoc.se:4488/svn/opencores/mdl_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "ORSoC_logo.jpg" "http://orsoc.se:4488/svn/opencores/ORSoC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "press" "http://orsoc.se:4488/svn/opencores/press" - check_svn_return_value - svn import -m "Import from OC" "regionalbreakdown.png" "http://orsoc.se:4488/svn/opencores/regionalbreakdown.png" - check_svn_return_value - svn import -m "Import from OC" "siteranking.png" "http://orsoc.se:4488/svn/opencores/siteranking.png" - check_svn_return_value - svn import -m "Import from OC" "sponsors" "http://orsoc.se:4488/svn/opencores/sponsors" - check_svn_return_value - svn import -m "Import from OC" "thumb_dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/thumb_dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "Ultimodule_Logo_Blue.JPG" "http://orsoc.se:4488/svn/opencores/Ultimodule_Logo_Blue.JPG" - check_svn_return_value - popd - pushd "opencpu678085" - popd - pushd "openfire" - popd - pushd "openfire2" - svn import -m "Import from OC" "freertos.zip" "http://orsoc.se:4488/svn/openfire2/freertos.zip" - check_svn_return_value - svn import -m "Import from OC" "targetselection.itb" "http://orsoc.se:4488/svn/openfire2/targetselection.itb" - check_svn_return_value - popd - pushd "openfire_core" - popd - pushd "openh263" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/openh263/index.shtml" - check_svn_return_value - popd - pushd "openriscdevboard" - svn import -m "Import from OC" "altera_dev_brd.zip" "http://orsoc.se:4488/svn/openriscdevboard/altera_dev_brd.zip" - check_svn_return_value - svn import -m "Import from OC" "cyc2-openrisc.zip" "http://orsoc.se:4488/svn/openriscdevboard/cyc2-openrisc.zip" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfigEval_V1_2.zip" "http://orsoc.se:4488/svn/openriscdevboard/fpgaConfigEval_V1_2.zip" - check_svn_return_value - svn import -m "Import from OC" "usbPlusUart.zip" "http://orsoc.se:4488/svn/openriscdevboard/usbPlusUart.zip" - check_svn_return_value - popd - pushd "open_tcpip" - popd - pushd "opentech" - svn import -m "Import from OC" "changes_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "content.txt" "http://orsoc.se:4488/svn/opentech/content.txt" - check_svn_return_value - svn import -m "Import from OC" "covers.zip" "http://orsoc.se:4488/svn/opentech/covers.zip" - check_svn_return_value - svn import -m "Import from OC" "icon.gif" "http://orsoc.se:4488/svn/opentech/icon.gif" - check_svn_return_value - svn import -m "Import from OC" "icon.jpg" "http://orsoc.se:4488/svn/opentech/icon.jpg" - check_svn_return_value - svn import -m "Import from OC" "icon.png" "http://orsoc.se:4488/svn/opentech/icon.png" - check_svn_return_value - svn import -m "Import from OC" "logo_full.jpg" "http://orsoc.se:4488/svn/opentech/logo_full.jpg" - check_svn_return_value - svn import -m "Import from OC" "OpenTech_Info.xls" "http://orsoc.se:4488/svn/opentech/OpenTech_Info.xls" - check_svn_return_value - svn import -m "Import from OC" "OpenTechnologies_small.gif" "http://orsoc.se:4488/svn/opentech/OpenTechnologies_small.gif" - check_svn_return_value - svn import -m "Import from OC" "OT_Contents.zip" "http://orsoc.se:4488/svn/opentech/OT_Contents.zip" - check_svn_return_value - popd - pushd "openverifla" - svn import -m "Import from OC" "verifla_keyboard_protocol_verification_50procent.jpg" "http://orsoc.se:4488/svn/openverifla/verifla_keyboard_protocol_verification_50procent.jpg" - check_svn_return_value - popd - pushd "or1200gct" - popd - pushd "or1k-cf" - popd - pushd "or1k-new" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/or1k-new/index.shtml" - check_svn_return_value - popd - pushd "ovcodec" - svn import -m "Import from OC" "ogg_files.zip" "http://orsoc.se:4488/svn/ovcodec/ogg_files.zip" - check_svn_return_value - popd - pushd "pap" - popd - pushd "pavr" - svn import -m "Import from OC" "pavr032.chm.zip" "http://orsoc.se:4488/svn/pavr/pavr032.chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr032-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032.html.zip" "http://orsoc.se:4488/svn/pavr/pavr032.html.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-chm.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-html.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-html.zip" - check_svn_return_value - svn import -m "Import from OC" "todo.html" "http://orsoc.se:4488/svn/pavr/todo.html" - check_svn_return_value - popd - pushd "pci" - svn import -m "Import from OC" "charact.shtml" "http://orsoc.se:4488/svn/pci/charact.shtml" - check_svn_return_value - svn import -m "Import from OC" "contacts.shtml" "http://orsoc.se:4488/svn/pci/contacts.shtml" - check_svn_return_value - svn import -m "Import from OC" "current_stat.shtml" "http://orsoc.se:4488/svn/pci/current_stat.shtml" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/pci/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/pci/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/pci/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "links.shtml" "http://orsoc.se:4488/svn/pci/links.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_HOST_architecture.jpg" "http://orsoc.se:4488/svn/pci/PCI_HOST_architecture.jpg" - check_svn_return_value - svn import -m "Import from OC" "pci_parity.html" "http://orsoc.se:4488/svn/pci/pci_parity.html" - check_svn_return_value - svn import -m "Import from OC" "pci_prototype.shtml" "http://orsoc.se:4488/svn/pci/pci_prototype.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCIsim.shtml" "http://orsoc.se:4488/svn/pci/PCIsim.shtml" - check_svn_return_value - svn import -m "Import from OC" "pci_snapshots.shtml" "http://orsoc.se:4488/svn/pci/pci_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_conn.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_conn.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_cristal.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_cristal.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.gif" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_test_brd.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_test_brd.gif" - check_svn_return_value - svn import -m "Import from OC" "pcixwin.jpg" "http://orsoc.se:4488/svn/pci/pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00022.jpg" "http://orsoc.se:4488/svn/pci/Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00026.jpg" "http://orsoc.se:4488/svn/pci/Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00027.jpg" "http://orsoc.se:4488/svn/pci/Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00028.jpg" "http://orsoc.se:4488/svn/pci/Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00037.jpg" "http://orsoc.se:4488/svn/pci/Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "pics" "http://orsoc.se:4488/svn/pci/pics" - check_svn_return_value - svn import -m "Import from OC" "references.shtml" "http://orsoc.se:4488/svn/pci/references.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_app.shtml" "http://orsoc.se:4488/svn/pci/test_app.shtml" - check_svn_return_value - svn import -m "Import from OC" "testbench.shtml" "http://orsoc.se:4488/svn/pci/testbench.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_board.shtml" "http://orsoc.se:4488/svn/pci/test_board.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_driver.shtml" "http://orsoc.se:4488/svn/pci/test_driver.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_snapshots.shtml" "http://orsoc.se:4488/svn/pci/test_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "thumb_pcixwin.jpg" "http://orsoc.se:4488/svn/pci/thumb_pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00022.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00026.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00027.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00028.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00037.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "todo_list.shtml" "http://orsoc.se:4488/svn/pci/todo_list.shtml" - check_svn_return_value - popd - pushd "pci32tlite_oc" - popd - pushd "pci-board" - svn import -m "Import from OC" "PCI-Board.jpeg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpeg" - check_svn_return_value - svn import -m "Import from OC" "PCI-Board.jpg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI-CARD-SCH-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-CARD-SCH-v1.0.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI-Card-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-Card-v1.0.pdf" - check_svn_return_value - popd - pushd "pci_controller" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/pci_controller/*" - check_svn_return_value - popd - pushd "pcie_vera_tb" - popd - pushd "pci_express" - popd - pushd "pci_express_crc" - popd - pushd "pci_ide_controller" - popd - pushd "pci_mini" - svn import -m "Import from OC" "PCI_Mini_IP_core_Datasheet2.0_oc.pdf" "http://orsoc.se:4488/svn/pci_mini/PCI_Mini_IP_core_Datasheet2.0_oc.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI_mini.zip" "http://orsoc.se:4488/svn/pci_mini/PCI_mini.zip" - check_svn_return_value - popd - pushd "pcix" - popd - pushd "pcmcia" - popd - pushd "performance_counter" - svn import -m "Import from OC" "PeformanceCounterforMicroblazev0.1.zip" "http://orsoc.se:4488/svn/performance_counter/PeformanceCounterforMicroblazev0.1.zip" - check_svn_return_value - popd - pushd "perlilog" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/perlilog/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "old-index.shtml" "http://orsoc.se:4488/svn/perlilog/old-index.shtml" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.2.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.2.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.3.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.3.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.2.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.2.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.3.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.3.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog.tar.gz" "http://orsoc.se:4488/svn/perlilog/perlilog.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog.zip" "http://orsoc.se:4488/svn/perlilog/perlilog.zip" - check_svn_return_value - popd - pushd "phoenix_controller" - popd - pushd "pic8259" - popd - pushd "picoblaze_interrupt_controller" - svn import -m "Import from OC" "Pblaze_IntController-061221.zip" "http://orsoc.se:4488/svn/picoblaze_interrupt_controller/Pblaze_IntController-061221.zip" - check_svn_return_value - popd - pushd "pif2wb" - popd - pushd "pipelined_aes" - popd - pushd "pipelined_dct" - popd - pushd "piranha" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/piranha/*" - check_svn_return_value - popd - pushd "power_inverter" - popd - pushd "ppcnorthbridge" - popd - pushd "ppx16" - popd - pushd "product_code_iterative_decoder" - popd - pushd "profibus_dp" - svn import -m "Import from OC" "vhdl_source_files.zip" "http://orsoc.se:4488/svn/profibus_dp/vhdl_source_files.zip" - check_svn_return_value - popd - pushd "programmabledct" - popd - pushd "project" - svn import -m "Import from OC" "datapath.pdf" "http://orsoc.se:4488/svn/project/datapath.pdf" - check_svn_return_value - svn import -m "Import from OC" "Informations.doc" "http://orsoc.se:4488/svn/project/Informations.doc" - check_svn_return_value - svn import -m "Import from OC" "memories_core_jenerator_implementations.rar" "http://orsoc.se:4488/svn/project/memories_core_jenerator_implementations.rar" - check_svn_return_value - svn import -m "Import from OC" "Readme-Instructions.doc" "http://orsoc.se:4488/svn/project/Readme-Instructions.doc" - check_svn_return_value - svn import -m "Import from OC" "RegFile_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/RegFile_SystemC_implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "systemC_Implementation.rar" "http://orsoc.se:4488/svn/project/systemC_Implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "Xilinx_project_from_files_from_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/Xilinx_project_from_files_from_SystemC_implementation.rar" - check_svn_return_value - popd - pushd "ps2" - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/ps2/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/ps2/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ps2/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/ps2/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "ps2_keyboard.v" "http://orsoc.se:4488/svn/ps2/ps2_keyboard.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_mouse.v" "http://orsoc.se:4488/svn/ps2/ps2_mouse.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc1.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc2.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc2.zip" - check_svn_return_value - popd - pushd "ps2core" - popd - pushd "ptc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ptc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ptc_spec.pdf" "http://orsoc.se:4488/svn/ptc/ptc_spec.pdf" - check_svn_return_value - popd - pushd "pyramid_unit" - popd - pushd "quadraturecount" - popd - pushd "r2000" - popd - pushd "radixrsa" - svn import -m "Import from OC" "core.shtml" "http://orsoc.se:4488/svn/radixrsa/core.shtml" - check_svn_return_value - svn import -m "Import from OC" "doc.shtml" "http://orsoc.se:4488/svn/radixrsa/doc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dotty.gif" "http://orsoc.se:4488/svn/radixrsa/dotty.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/radixrsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "montgo.jpg" "http://orsoc.se:4488/svn/radixrsa/montgo.jpg" - check_svn_return_value - svn import -m "Import from OC" "RSAAlgorithm.pdf" "http://orsoc.se:4488/svn/radixrsa/RSAAlgorithm.pdf" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/radixrsa/title_logo.gif" - check_svn_return_value - popd - pushd "raggedstone" - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/raggedstone/README" - check_svn_return_value - popd - pushd "rc5-72" - popd - pushd "rc5_decoder" - popd - pushd "rfid" - svn import -m "Import from OC" "7Prog.pdf" "http://orsoc.se:4488/svn/rfid/7Prog.pdf" - check_svn_return_value - svn import -m "Import from OC" "TheMultiTagTesterFinal.exe" "http://orsoc.se:4488/svn/rfid/TheMultiTagTesterFinal.exe" - check_svn_return_value - popd - pushd "rijndael" - svn import -m "Import from OC" "dekrip_files" "http://orsoc.se:4488/svn/rijndael/dekrip_files" - check_svn_return_value - svn import -m "Import from OC" "dekrip.htm" "http://orsoc.se:4488/svn/rijndael/dekrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip_files" "http://orsoc.se:4488/svn/rijndael/enkrip_files" - check_svn_return_value - svn import -m "Import from OC" "enkrip.htm" "http://orsoc.se:4488/svn/rijndael/enkrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip.pdf" "http://orsoc.se:4488/svn/rijndael/enkrip.pdf" - check_svn_return_value - popd - pushd "risc16f84" - svn import -m "Import from OC" "b13c_environment.zip" "http://orsoc.se:4488/svn/risc16f84/b13c_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/risc16f84/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/risc16f84/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/risc16f84/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/risc16f84/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_clk2x.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_clk2x.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_lite.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_lite.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_small.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_small.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84.v" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/risc16f84/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "risc36" - popd - pushd "risc5x" - svn import -m "Import from OC" "hex_conv.zip" "http://orsoc.se:4488/svn/risc5x/hex_conv.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.0.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.1.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.1.zip" - check_svn_return_value - popd - pushd "risc_core_i" - svn import -m "Import from OC" "risc_core_I.zip" "http://orsoc.se:4488/svn/risc_core_i/risc_core_I.zip" - check_svn_return_value - svn import -m "Import from OC" "RISCCore.pdf" "http://orsoc.se:4488/svn/risc_core_i/RISCCore.pdf" - check_svn_return_value - svn import -m "Import from OC" "vhdl files.zip" "http://orsoc.se:4488/svn/risc_core_i/vhdl files.zip" - check_svn_return_value - svn import -m "Import from OC" "Zusammenfassung.pdf" "http://orsoc.se:4488/svn/risc_core_i/Zusammenfassung.pdf" - check_svn_return_value - popd - pushd "riscmcu" - svn import -m "Import from OC" "BlockDiagram.gif" "http://orsoc.se:4488/svn/riscmcu/BlockDiagram.gif" - check_svn_return_value - popd - pushd "risc_processor_with_os" - popd - pushd "rise" - popd - pushd "rng_lib" - svn import -m "Import from OC" "rng_lib_v10.zip" "http://orsoc.se:4488/svn/rng_lib/rng_lib_v10.zip" - check_svn_return_value - popd - pushd "robot_control_library" - svn import -m "Import from OC" "documentation.zip" "http://orsoc.se:4488/svn/robot_control_library/documentation.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PID_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PID_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PS2_Joypad_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PS2_Joypad_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Quadrature_Encoder_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Quadrature_Encoder_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Stepper_Control_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Stepper_Control_v1_00_a.zip" - check_svn_return_value - popd - pushd "rosetta" - popd - pushd "rs232_syscon" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/rs232_syscon/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b11_risc16f84_05_03_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b11_risc16f84_05_03_02.zip" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b13_safe_09_17_02.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/rs232_syscon/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/rs232_syscon/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "Image4.gif" "http://orsoc.se:4488/svn/rs232_syscon/Image4.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rs232_syscon/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/rs232_syscon/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_00_source.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_00_source.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_01_xsoc.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_01_xsoc.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon1.doc" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon1.doc" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_autobaud.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_autobaud.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.htm" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.htm" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.pdf" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.pdf" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc1.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc2.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc2.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc3.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc3.zip" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/rs232_syscon/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "rs_5_3_gf256" - svn import -m "Import from OC" "ReedSolomon(5,3)Codec.ppt" "http://orsoc.se:4488/svn/rs_5_3_gf256/ReedSolomon(5,3)Codec.ppt" - check_svn_return_value - popd - pushd "rsa" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "rsa" "http://orsoc.se:4488/svn/rsa/rsa" - check_svn_return_value - svn import -m "Import from OC" "RSA.htm" "http://orsoc.se:4488/svn/rsa/RSA.htm" - check_svn_return_value - svn import -m "Import from OC" "RSA.shtml" "http://orsoc.se:4488/svn/rsa/RSA.shtml" - check_svn_return_value - popd - pushd "rs_decoder_31_19_6" - popd - pushd "rsencoder" - svn import -m "Import from OC" "readme.txt" "http://orsoc.se:4488/svn/rsencoder/readme.txt" - check_svn_return_value - svn import -m "Import from OC" "reed_solomon.v" "http://orsoc.se:4488/svn/rsencoder/reed_solomon.v" - check_svn_return_value - svn import -m "Import from OC" "rs_testbench.v" "http://orsoc.se:4488/svn/rsencoder/rs_testbench.v" - check_svn_return_value - popd - pushd "s1_core" - popd - pushd "sardmips" - popd - pushd "sasc" - popd - pushd "sata1a" - popd - pushd "sayeh_processor" - popd - pushd "sbd_sqrt_fp" - popd - pushd "sc2v" - popd - pushd "scarm" - svn import -m "Import from OC" "arm1.JPG" "http://orsoc.se:4488/svn/scarm/arm1.JPG" - check_svn_return_value - svn import -m "Import from OC" "chinese" "http://orsoc.se:4488/svn/scarm/chinese" - check_svn_return_value - svn import -m "Import from OC" "english" "http://orsoc.se:4488/svn/scarm/english" - check_svn_return_value - svn import -m "Import from OC" "images" "http://orsoc.se:4488/svn/scarm/images" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/scarm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "main.shtml" "http://orsoc.se:4488/svn/scarm/main.shtml" - check_svn_return_value - svn import -m "Import from OC" "src.zip" "http://orsoc.se:4488/svn/scarm/src.zip" - check_svn_return_value - svn import -m "Import from OC" "test" "http://orsoc.se:4488/svn/scarm/test" - check_svn_return_value - svn import -m "Import from OC" "test.zip" "http://orsoc.se:4488/svn/scarm/test.zip" - check_svn_return_value - popd - pushd "scsi_interface" - popd - pushd "sdram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sdram/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml2" "http://orsoc.se:4488/svn/sdram/index.shtml2" - check_svn_return_value - svn import -m "Import from OC" "intefacing block diagram.gif" "http://orsoc.se:4488/svn/sdram/intefacing block diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "interfacing_block_diagram.gif" "http://orsoc.se:4488/svn/sdram/interfacing_block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "sdram_doc.pdf" "http://orsoc.se:4488/svn/sdram/sdram_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "sdram.html" "http://orsoc.se:4488/svn/sdram/sdram.html" - check_svn_return_value - svn import -m "Import from OC" "sdram_ip_doc_preliminary.pdf" "http://orsoc.se:4488/svn/sdram/sdram_ip_doc_preliminary.pdf" - check_svn_return_value - popd - pushd "sdram_ctrl" - popd - pushd "sdr_sdram_ctrl" - popd - pushd "serial_div_uu" - svn import -m "Import from OC" "pwm_reader.v" "http://orsoc.se:4488/svn/serial_div_uu/pwm_reader.v" - check_svn_return_value - svn import -m "Import from OC" "serial_divide_uu.v" "http://orsoc.se:4488/svn/serial_div_uu/serial_divide_uu.v" - check_svn_return_value - popd - pushd "serpent_core" - popd - pushd "sfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-2_protel_sch.zip" "http://orsoc.se:4488/svn/sfpga/ocrp-2_protel_sch.zip" - check_svn_return_value - svn import -m "Import from OC" "OCRP-2_sch_preliminary.pdf" "http://orsoc.se:4488/svn/sfpga/OCRP-2_sch_preliminary.pdf" - check_svn_return_value - svn import -m "Import from OC" "sfpga_block.gif" "http://orsoc.se:4488/svn/sfpga/sfpga_block.gif" - check_svn_return_value - popd - pushd "sha1" - svn import -m "Import from OC" "sha1_readme_v01.txt" "http://orsoc.se:4488/svn/sha1/sha1_readme_v01.txt" - check_svn_return_value - svn import -m "Import from OC" "sha1_v01.zip" "http://orsoc.se:4488/svn/sha1/sha1_v01.zip" - check_svn_return_value - popd - pushd "sha_core" - popd - pushd "simpcon" - popd - pushd "simplearm" - popd - pushd "simple-cpu" - popd - pushd "simple_fm_receiver" - popd - pushd "simple_gpio" - popd - pushd "simple_pic" - popd - pushd "simple_spi" - popd - pushd "simple_uart" - svn import -m "Import from OC" "simpleUart.zip" "http://orsoc.se:4488/svn/simple_uart/simpleUart.zip" - check_svn_return_value - popd - pushd "single_clock_divider" - popd - pushd "single_port" - svn import -m "Import from OC" "single_port.tar.gz" "http://orsoc.se:4488/svn/single_port/single_port.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "single_port.zip" "http://orsoc.se:4488/svn/single_port/single_port.zip" - check_svn_return_value - popd - pushd "slave_vme_bridge" - popd - pushd "smallarm" - popd - pushd "smbus_if" - svn import -m "Import from OC" "smbus_if.doc" "http://orsoc.se:4488/svn/smbus_if/smbus_if.doc" - check_svn_return_value - popd - pushd "socbuilder" - popd - pushd "soft_core_risc_microprocessor_design_enabling_the_port_of_an_os" - popd - pushd "sonet" - svn import -m "Import from OC" "blockdia.doc" "http://orsoc.se:4488/svn/sonet/blockdia.doc" - check_svn_return_value - svn import -m "Import from OC" "overview.doc" "http://orsoc.se:4488/svn/sonet/overview.doc" - check_svn_return_value - popd - pushd "spacewire" - svn import -m "Import from OC" "Router.JPG" "http://orsoc.se:4488/svn/spacewire/Router.JPG" - check_svn_return_value - svn import -m "Import from OC" "SpWinterfacewithCODEC.JPG" "http://orsoc.se:4488/svn/spacewire/SpWinterfacewithCODEC.JPG" - check_svn_return_value - popd - pushd "spacewire_if" - popd - pushd "spates" - popd - pushd "spdif_interface" - popd - pushd "spi" - popd - pushd "spi_boot" - popd - pushd "spicc" - popd - pushd "spiflashcontroller" - popd - pushd "spimaster" - svn import -m "Import from OC" "spiMaster.zip" "http://orsoc.se:4488/svn/spimaster/spiMaster.zip" - check_svn_return_value - popd - pushd "spi_slave" - popd - pushd "spi-slave" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/spi-slave/*" - check_svn_return_value - popd - pushd "srl_fifo" - popd - pushd "srtdivision" - popd - pushd "ss_pcm" - popd - pushd "ssram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ssram/index.shtml" - check_svn_return_value - popd - pushd "steppermotordrive" - popd - pushd "sts1" - svn import -m "Import from OC" "spe.vhd" "http://orsoc.se:4488/svn/sts1/spe.vhd" - check_svn_return_value - popd - pushd "svmac" - popd - pushd "sxp" - svn import -m "Import from OC" "sxp_block.gif" "http://orsoc.se:4488/svn/sxp/sxp_block.gif" - check_svn_return_value - popd - pushd "system05" - popd - pushd "system09" - svn import -m "Import from OC" "index.html" "http://orsoc.se:4488/svn/system09/index.html" - check_svn_return_value - svn import -m "Import from OC" "System09-oc-6sep03.zip" "http://orsoc.se:4488/svn/system09/System09-oc-6sep03.zip" - check_svn_return_value - svn import -m "Import from OC" "xbasic.s19" "http://orsoc.se:4488/svn/system09/xbasic.s19" - check_svn_return_value - popd - pushd "system11" - svn import -m "Import from OC" "Sys11_X300_5sep03.zip" "http://orsoc.se:4488/svn/system11/Sys11_X300_5sep03.zip" - check_svn_return_value - popd - pushd "system68" - svn import -m "Import from OC" "Sys68-X300-17jan04.zip" "http://orsoc.se:4488/svn/system68/Sys68-X300-17jan04.zip" - check_svn_return_value - popd - pushd "system6801" - svn import -m "Import from OC" "System6801.zip" "http://orsoc.se:4488/svn/system6801/System6801.zip" - check_svn_return_value - svn import -m "Import from OC" "utilities.zip" "http://orsoc.se:4488/svn/system6801/utilities.zip" - check_svn_return_value - popd - pushd "systemcaes" - popd - pushd "systemc_cordic" - popd - pushd "systemcdes" - popd - pushd "systemcmd5" - popd - pushd "systemc_rng" - popd - pushd "t400" - popd - pushd "t48" - popd - pushd "t51" - popd - pushd "t65" - popd - pushd "t80" - popd - pushd "t8000" - popd - pushd "tdm" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/tdm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.jpg" "http://orsoc.se:4488/svn/tdm/tdm_core.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.ps" "http://orsoc.se:4488/svn/tdm/tdm_core.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.html" "http://orsoc.se:4488/svn/tdm/tdm_project.html" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.pdf" "http://orsoc.se:4488/svn/tdm/tdm_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.ps" "http://orsoc.se:4488/svn/tdm/tdm_project.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_top.ps" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/tdm/wishlogo.ps" - check_svn_return_value - popd - pushd "tdm_switch" - svn import -m "Import from OC" "map.dat" "http://orsoc.se:4488/svn/tdm_switch/map.dat" - check_svn_return_value - svn import -m "Import from OC" "ModelSim_Edition.exe" "http://orsoc.se:4488/svn/tdm_switch/ModelSim_Edition.exe" - check_svn_return_value - svn import -m "Import from OC" "stream_0.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_0.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_1.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_1.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_2.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_2.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_3.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_3.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_4.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_4.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_5.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_5.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_6.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_6.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_7.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_7.dat" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_b.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_b.v" - check_svn_return_value - svn import -m "Import from OC" "TDM_Switch_DS.pdf" "http://orsoc.se:4488/svn/tdm_switch/TDM_Switch_DS.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.sdf" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.sdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.v" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top.v" - check_svn_return_value - svn import -m "Import from OC" "testbench_top.v" "http://orsoc.se:4488/svn/tdm_switch/testbench_top.v" - check_svn_return_value - popd - pushd "template" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/template/index.shtml" - check_svn_return_value - popd - pushd "test" - svn import -m "Import from OC" "apple.gif" "http://orsoc.se:4488/svn/test/apple.gif" - check_svn_return_value - svn import -m "Import from OC" "FLEX_w_CMYK_R_LG.jpg" "http://orsoc.se:4488/svn/test/FLEX_w_CMYK_R_LG.jpg" - check_svn_return_value - svn import -m "Import from OC" "include1.ssi" "http://orsoc.se:4488/svn/test/include1.ssi" - check_svn_return_value - svn import -m "Import from OC" "include2.ssi" "http://orsoc.se:4488/svn/test/include2.ssi" - check_svn_return_value - popd - pushd "test1" - svn import -m "Import from OC" "arrow_ltr.gif" "http://orsoc.se:4488/svn/test1/arrow_ltr.gif" - check_svn_return_value - svn import -m "Import from OC" "sed_awk.pdf" "http://orsoc.se:4488/svn/test1/sed_awk.pdf" - check_svn_return_value - popd - pushd "test2" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/test2/*" - check_svn_return_value - popd - pushd "test3" - popd - pushd "test_project" - popd - pushd "test-project" - svn import -m "Import from OC" "vl.bmp" "http://orsoc.se:4488/svn/test-project/vl.bmp" - check_svn_return_value - popd - pushd "tg68" - popd - pushd "tiny64" - popd - pushd "tiny8" - popd - pushd "tlc2" - popd - pushd "toe" - popd - pushd "tone_generator" - popd - pushd "totalcpu" - popd - pushd "trinitor" - popd - pushd "truescalar" - popd - pushd "ts7300_opencore" - svn import -m "Import from OC" "7300stclwp.jpg" "http://orsoc.se:4488/svn/ts7300_opencore/7300stclwp.jpg" - check_svn_return_value - svn import -m "Import from OC" "ts7300_opencore.zip" "http://orsoc.se:4488/svn/ts7300_opencore/ts7300_opencore.zip" - check_svn_return_value - popd - pushd "turbocodes" - svn import -m "Import from OC" "turbo.tar.gz" "http://orsoc.se:4488/svn/turbocodes/turbo.tar.gz" - check_svn_return_value - popd - pushd "tv80" - svn import -m "Import from OC" "tv80_rel1.0.zip" "http://orsoc.se:4488/svn/tv80/tv80_rel1.0.zip" - check_svn_return_value - popd - pushd "twofish" - popd - pushd "twofish_team" - svn import -m "Import from OC" "ciphertext.jpg" "http://orsoc.se:4488/svn/twofish_team/ciphertext.jpg" - check_svn_return_value - svn import -m "Import from OC" "cleartext.jpg" "http://orsoc.se:4488/svn/twofish_team/cleartext.jpg" - check_svn_return_value - svn import -m "Import from OC" "key-mod.jpg" "http://orsoc.se:4488/svn/twofish_team/key-mod.jpg" - check_svn_return_value - svn import -m "Import from OC" "modifiedF.jpg" "http://orsoc.se:4488/svn/twofish_team/modifiedF.jpg" - check_svn_return_value - svn import -m "Import from OC" "peracangan" "http://orsoc.se:4488/svn/twofish_team/peracangan" - check_svn_return_value - svn import -m "Import from OC" "qper.jpg" "http://orsoc.se:4488/svn/twofish_team/qper.jpg" - check_svn_return_value - svn import -m "Import from OC" "s-boxes.jpg" "http://orsoc.se:4488/svn/twofish_team/s-boxes.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.jpg" "http://orsoc.se:4488/svn/twofish_team/twofish.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.zip" "http://orsoc.se:4488/svn/twofish_team/twofish.zip" - check_svn_return_value - popd - pushd "ualpha" - popd - pushd "uart16550" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/uart16550/index.shtml" - check_svn_return_value - popd - pushd "uart8bit" - popd - pushd "uart_fifo" - popd - pushd "uart_serial" - popd - pushd "ucore" - svn import -m "Import from OC" "ucsys-0.0.1.rar" "http://orsoc.se:4488/svn/ucore/ucsys-0.0.1.rar" - check_svn_return_value - popd - pushd "ultimate_crc" - svn import -m "Import from OC" "ultimate_crc_1_0.zip" "http://orsoc.se:4488/svn/ultimate_crc/ultimate_crc_1_0.zip" - check_svn_return_value - popd - pushd "ultramegasquirt" - popd - pushd "ultravec" - popd - pushd "upcable" - svn import -m "Import from OC" "odd_vhdl.zip" "http://orsoc.se:4488/svn/upcable/odd_vhdl.zip" - check_svn_return_value - svn import -m "Import from OC" "OneDollarDongle.pdf" "http://orsoc.se:4488/svn/upcable/OneDollarDongle.pdf" - check_svn_return_value - svn import -m "Import from OC" "ver1_xc9536xl_vq44_single_side.zip" "http://orsoc.se:4488/svn/upcable/ver1_xc9536xl_vq44_single_side.zip" - check_svn_return_value - popd - pushd "usb11" - popd - pushd "usb1_funct" - popd - pushd "usb_dongle_fpga" - svn import -m "Import from OC" "block_diagram.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/block_diagram.png" - check_svn_return_value - svn import -m "Import from OC" "dongle_block.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/dongle_block.png" - check_svn_return_value - svn import -m "Import from OC" "mini_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/mini_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "small_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/small_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "usb_dongle.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/usb_dongle.jpg" - check_svn_return_value - popd - pushd "usbhost" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/usbhost/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "HDL" "http://orsoc.se:4488/svn/usbhost/HDL" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh10.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh10.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh11.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh11.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh12.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh12.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh13.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh13.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh14.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh14.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh15.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh15.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh16.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh16.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh17.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh17.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh18.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh18.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh19.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh19.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh1.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh1.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh20.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh20.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh21.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh21.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh22.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh22.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.shtml" "http://orsoc.se:4488/svn/usbhost/HDL.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.1.gif" "http://orsoc.se:4488/svn/usbhost/index.1.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/usbhost/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/usbhost/README" - check_svn_return_value - popd - pushd "usbhostslave" - svn import -m "Import from OC" "ALDEC_logo.jpg" "http://orsoc.se:4488/svn/usbhostslave/ALDEC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" "http://orsoc.se:4488/svn/usbhostslave/dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" - check_svn_return_value - svn import -m "Import from OC" "NIOSsoftware.zip" "http://orsoc.se:4488/svn/usbhostslave/NIOSsoftware.zip" - check_svn_return_value - svn import -m "Import from OC" "ohs900.zip" "http://orsoc.se:4488/svn/usbhostslave/ohs900.zip" - check_svn_return_value - svn import -m "Import from OC" "usbhostslave.zip" "http://orsoc.se:4488/svn/usbhostslave/usbhostslave.zip" - check_svn_return_value - popd - pushd "usb_phy" - popd - pushd "usucc" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/usucc/*" - check_svn_return_value - popd - pushd "utop_lvl_1" - popd - pushd "verilator" - popd - pushd "vgafb" - popd - pushd "vga_lcd" - svn import -m "Import from OC" "block_diagram.gif" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/vga_lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.pdf" "http://orsoc.se:4488/svn/vga_lcd/vga_core.pdf" - check_svn_return_value - popd - pushd "vhcg" - svn import -m "Import from OC" "morpheus1.1release.rar" "http://orsoc.se:4488/svn/vhcg/morpheus1.1release.rar" - check_svn_return_value - svn import -m "Import from OC" "morpheus.tar.gz" "http://orsoc.se:4488/svn/vhcg/morpheus.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Specification.pdf" "http://orsoc.se:4488/svn/vhcg/Specification.pdf" - check_svn_return_value - popd - pushd "vhdl_cpu_emulator" - svn import -m "Import from OC" "vhdl_cpu_emulator_Beta.7z" "http://orsoc.se:4488/svn/vhdl_cpu_emulator/vhdl_cpu_emulator_Beta.7z" - check_svn_return_value - popd - pushd "vhdlmd5" - popd - pushd "vhld_tb" - popd - pushd "video_starter_kit" - svn import -m "Import from OC" "main_designoverview0.0.2.pdf" "http://orsoc.se:4488/svn/video_starter_kit/main_designoverview0.0.2.pdf" - check_svn_return_value - popd - pushd "vip_regs" - popd - pushd "viterbi_decoder" - popd - pushd "viterbi_decoder_k_7_r_1_2" - popd - pushd "vmebus" - popd - pushd "vmm" - popd - pushd "warp" - popd - pushd "wb2hpi" - svn import -m "Import from OC" "BlockTransfer1.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer1.jpg" - check_svn_return_value - svn import -m "Import from OC" "BlockTransfer2.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory2.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSPMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DSPMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "Registers.jpg" "http://orsoc.se:4488/svn/wb2hpi/Registers.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SystemMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "TestBench051.jpg" "http://orsoc.se:4488/svn/wb2hpi/TestBench051.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb2hpi_hw2.jpg" "http://orsoc.se:4488/svn/wb2hpi/wb2hpi_hw2.jpg" - check_svn_return_value - popd - pushd "wb2npi" - popd - pushd "wb_builder" - svn import -m "Import from OC" "users_manual.pdf" "http://orsoc.se:4488/svn/wb_builder/users_manual.pdf" - check_svn_return_value - popd - pushd "wb_conbus" - popd - pushd "wb_conmax" - svn import -m "Import from OC" "conmax.jpg" "http://orsoc.se:4488/svn/wb_conmax/conmax.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_conmax/index.shtml" - check_svn_return_value - popd - pushd "wbc_parallel_master" - svn import -m "Import from OC" "wbc_parallel_master-spec_doc-r01.pdf" "http://orsoc.se:4488/svn/wbc_parallel_master/wbc_parallel_master-spec_doc-r01.pdf" - check_svn_return_value - popd - pushd "wb_ddr" - popd - pushd "wb_dma" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_dma/index.shtml" - check_svn_return_value - popd - pushd "wb_flash" - popd - pushd "wbif_68k" - popd - pushd "wb_lpc" - popd - pushd "wb_mcs51" - popd - pushd "wb_rtc" - svn import -m "Import from OC" "ports.jpg" "http://orsoc.se:4488/svn/wb_rtc/ports.jpg" - check_svn_return_value - svn import -m "Import from OC" "structure.jpg" "http://orsoc.se:4488/svn/wb_rtc/structure.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb_rtc.zip" "http://orsoc.se:4488/svn/wb_rtc/wb_rtc.zip" - check_svn_return_value - popd - pushd "wb_tk" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_tk/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_arbiter.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_arbiter.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_master.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_master.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_slave.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_slave.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_bus_resizer.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_bus_resizer.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_extensions.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_extensions.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_out_reg.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_out_reg.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_ram.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_ram.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_test.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_test.shtml" - check_svn_return_value - popd - pushd "wb_vga" - svn import -m "Import from OC" "accel.shtml" "http://orsoc.se:4488/svn/wb_vga/accel.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_vga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mouse.shtml" "http://orsoc.se:4488/svn/wb_vga/mouse.shtml" - check_svn_return_value - svn import -m "Import from OC" "palette.shtml" "http://orsoc.se:4488/svn/wb_vga/palette.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_chip.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_chip.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core_v2.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core_v2.shtml" - check_svn_return_value - popd - pushd "wb_z80" - popd - pushd "wb_zbt" - popd - pushd "wisbone_2_ahb" - popd - pushd "wishbone" - svn import -m "Import from OC" "appnote_01.pdf" "http://orsoc.se:4488/svn/wishbone/appnote_01.pdf" - check_svn_return_value - svn import -m "Import from OC" "flex.pdf" "http://orsoc.se:4488/svn/wishbone/flex.pdf" - check_svn_return_value - svn import -m "Import from OC" "press_release_12_08_2002.pdf" "http://orsoc.se:4488/svn/wishbone/press_release_12_08_2002.pdf" - check_svn_return_value - svn import -m "Import from OC" "soc_bus_comparison.pdf" "http://orsoc.se:4488/svn/wishbone/soc_bus_comparison.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b1.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b1.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b2.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b2.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b3.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b3.pdf" - check_svn_return_value - popd - pushd "wishbone2ahb" - popd - pushd "wishbone_bfm" - popd - pushd "wishbone_checker" - popd - pushd "wishbone_out_port" - popd - pushd "wishbone_to_ahb" - popd - pushd "wlanmac" - popd - pushd "wlan_modem" - popd - pushd "wpf" - popd - pushd "x25_protocol_interface_project" - popd - pushd "x86soc" - popd - pushd "xge_mac" - popd - pushd "xmatchpro" - svn import -m "Import from OC" "open_xmw2.zip" "http://orsoc.se:4488/svn/xmatchpro/open_xmw2.zip" - check_svn_return_value - popd - pushd "xtea" - popd - pushd "yacc" - popd - pushd "yellowstar" - svn import -m "Import from OC" "appendix.pdf" "http://orsoc.se:4488/svn/yellowstar/appendix.pdf" - check_svn_return_value - svn import -m "Import from OC" "processor.v" "http://orsoc.se:4488/svn/yellowstar/processor.v" - check_svn_return_value - svn import -m "Import from OC" "report.pdf" "http://orsoc.se:4488/svn/yellowstar/report.pdf" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_schematics.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_schematics.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_symbols.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_symbols.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellow_star.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellow_star.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ys_logo.jpg" "http://orsoc.se:4488/svn/yellowstar/ys_logo.jpg" - check_svn_return_value - popd - pushd "yoda" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/yoda/*" - check_svn_return_value - popd - pushd "z80soc" - svn import -m "Import from OC" "mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/mP5180007.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5180007.JPG" - check_svn_return_value - popd - pushd "zpu" - svn import -m "Import from OC" "compile.PNG" "http://orsoc.se:4488/svn/zpu/compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator2.PNG" "http://orsoc.se:4488/svn/zpu/simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator3.PNG" "http://orsoc.se:4488/svn/zpu/simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator.PNG" "http://orsoc.se:4488/svn/zpu/simulator.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_compile.PNG" "http://orsoc.se:4488/svn/zpu/thumb_compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator2.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator3.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator.PNG" - check_svn_return_value - popd - ALL_DONE="1" - echo "All checkins done" -done
svn_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: oc_checkin.sh =================================================================== --- oc_checkin.sh (revision 5) +++ oc_checkin.sh (nonexistent) @@ -1,225 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -8b10b_encdec -acxbrd -adder -ae68 -aes_128_192_256 -aes_fekete256 -all_digital_fm_receiver -alternascope -aquarius -aspida -ata -auto_baud -a_vhd_16550_uart -a_vhdl_can_controller -avr_core -baudgen -binary_to_bcd -biquad -bluespec-h264 -bluetooth -board -camellia -can -cereon -cf_cordic -cf_fft -cf_fir -cf_fp_mul -cf_interleaver -cf_ldpc -cf_rca -cf_ssp -const_encoder -cordic -cpugen -cryptosorter -dct -ddr_sdr -decoder -des -dfp -diogenes -dram -dualspartainc6713cpci -dwt2d -e123mux -e1framerdeframer -embedded_risc -epp -erp -ethernet_tri_mode -eus100lx -eusfs -fac2222m -fast-crc -fbas_encoder -fcpu -ffr16 -fht -fifouart -filter -firewire -fir_filter_generator -floating_point_adder_subtractor -fpga -fpgaconfig -fpu -fpu100 -freetools -gamepads -gh_vhdl_library -gpio -graphicallcd -graphiti -gsc -gup -hamming_gen -hdlc -help -i2c -i2clog -i2c_slave -i2s -i2s_interface -ic6821 -idea -iiepci -interface_vga80x40 -irda -iso7816-3 -jpeg -jpegcompression -jtag -keypad_scanner -l8051 -lcd -lcd_controller -ldpc_decoder_802_3an -ldpc_encoder_802_3an -lem1_9min -lowpowerfir -lpu -lwrisc -man2uart -manchesterencoderdecoder -maxii-evalboard -mb-jpeg -mcpu -mdct -mem_ctrl -memory_cores -memory_sizer -mfpga -minimips -minirisc -mips789 -mipss -most -mpdma -ncore -neptune-core -nnARM -npigrctrl -oab1 -ocmips -ocrp-1 -opencores -openfire2 -openh263 -openriscdevboard -opentech -openverifla -or1k-new -ovcodec -pavr -pci -pci-board -pci_controller -pci_mini -performance_counter -perlilog -picoblaze_interrupt_controller -piranha -profibus_dp -project -ps2 -ptc -radixrsa -raggedstone -rfid -rijndael -risc16f84 -risc5x -risc_core_i -riscmcu -rng_lib -robot_control_library -rs232_syscon -rs_5_3_gf256 -rsa -rsencoder -scarm -sdram -serial_div_uu -sfpga -sha1 -simple_uart -single_port -smbus_if -sonet -spacewire -spimaster -spi-slave -ssram -sts1 -sxp -system09 -system11 -system68 -system6801 -tdm -tdm_switch -template -test -test1 -test2 -test-project -ts7300_opencore -turbocodes -tv80 -twofish_team -uart16550 -ucore -ultimate_crc -upcable -usb_dongle_fpga -usbhost -usbhostslave -usucc -vga_lcd -vhcg -vhdl_cpu_emulator -video_starter_kit -wb2hpi -wb_builder -wb_conmax -wbc_parallel_master -wb_dma -wb_rtc -wb_tk -wb_vga -wishbone -xmatchpro -yellowstar -yoda -z80soc -zpu Index: trinity_talk_041205.pdf =================================================================== --- trinity_talk_041205.pdf (nonexistent) +++ trinity_talk_041205.pdf (revision 6) @@ -0,0 +1,6106 @@ +%PDF-1.3 +%âãÏÓ +497 0 obj +<< +/Linearized 1 +/O 505 +/H [ 1409 428 ] +/L 236355 +/E 77415 +/N 27 +/T 226296 +>> +endobj + xref +497 22 +0000000016 00000 n +0000000791 00000 n +0000001014 00000 n +0000001069 00000 n +0000001128 00000 n +0000001185 00000 n +0000001243 00000 n +0000001301 00000 n +0000001837 00000 n +0000002014 00000 n +0000002166 00000 n +0000002808 00000 n +0000002849 00000 n +0000003079 00000 n +0000003914 00000 n +0000004150 00000 n +0000004696 00000 n +0000004775 00000 n +0000046350 00000 n +0000049028 00000 n +0000001409 00000 n +0000001815 00000 n +trailer +<< +/Size 519 +/Info 491 0 R +/Root 498 0 R +/Prev 226285 +/ID[<2e0df7083cb4ee891d571563ef31f00a>] +>> +startxref +0 +%%EOF + +498 0 obj +<< +/Type /Catalog +/Pages 494 0 R +/Metadata 492 0 R +/Outlines 90 0 R +/Threads 499 0 R +/StructTreeRoot 504 0 R +/OpenAction [ 505 0 R /XYZ null null null ] +/PageMode /UseNone +/PageLabels 490 0 R +>> +endobj +499 0 obj +[ +500 0 R 501 0 R 502 0 R 503 0 R +] +endobj +500 0 obj +<< +/I << /Title (next)>> +/F 18 0 R +>> +endobj +501 0 obj +<< +/I << /Title (WE)>> +/F 20 0 R +>> +endobj +502 0 obj +<< +/I << /Title (Adr)>> +/F 21 0 R +>> +endobj +503 0 obj +<< +/I << /Title (Din)>> +/F 23 0 R +>> +endobj +504 0 obj +<< +/Type /StructTreeRoot +/ParentTree 488 0 R +/ParentTreeNextKey 28 +/K [ 150 0 R ] +>> +endobj +517 0 obj +<< /S 447 /O 503 /L 519 /C 535 /Filter /FlateDecode /Length 518 0 R >> +stream +H‰b```f``md`e``9Ï È€‚ ,`ÈáÁÀÐp$•™ð€Á”A²Aò³ÿ:1ÃI 6î¬-Œ»æNbplàwàeà jšÂhÇÐÏÉ Ù 0 +æœ9sfæYLÎé+»U̪flBa¹Y®Ø„] +•a’Þ¹Äج’~gv×åô;]³ñ“P a`¶èh`Pêè``Ò@g0pÆÈ"ŒP0C&•W”C%]À$DD ‰D2–üð`8SÂ, ÍÄüàèÑd`è`ÈgŠ²÷2K1füÉ ¶ÈŽË7ŸIáP­XUÓC= mÃÀ xhìKæÌ&P„±Oc`fúf`H›‰w€ðQšÏ +endstream +endobj +518 0 obj +298 +endobj +505 0 obj +<< +/Type /Page +/Parent 493 0 R +/Resources 506 0 R +/Contents 510 0 R +/Rotate 90 +/StructParents 1 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +506 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +507 0 obj +<< +/Type /Font +/Subtype /TrueType +/FirstChar 32 +/LastChar 169 +/Widths [ 250 333 408 500 500 0 778 180 333 333 0 564 250 333 250 278 500 500 +500 500 500 500 500 500 500 500 278 278 564 564 564 444 0 722 667 +667 722 611 556 722 722 333 389 722 611 889 722 722 556 722 667 +556 611 722 722 944 722 0 0 0 0 0 0 500 0 444 500 444 500 444 333 +500 500 278 0 500 278 778 500 500 500 500 333 389 278 500 500 722 +500 500 444 0 0 0 541 0 0 0 0 0 0 1000 0 0 0 0 0 0 0 0 0 0 0 0 333 +444 444 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 760 ] +/Encoding /WinAnsiEncoding +/BaseFont /HCDOOB+TimesNewRoman +/FontDescriptor 509 0 R +>> +endobj +508 0 obj +[ +/ICCBased 515 0 R +] +endobj +509 0 obj +<< +/Type /FontDescriptor +/Ascent 891 +/CapHeight 656 +/Descent -216 +/Flags 34 +/FontBBox [ -568 -307 2000 1007 ] +/FontName /HCDOOB+TimesNewRoman +/ItalicAngle 0 +/StemV 94 +/XHeight 0 +/FontFile2 514 0 R +>> +endobj +510 0 obj +<< /Length 760 /Filter /FlateDecode >> +stream +H‰|TÛNÜ0}ÏW̲¥Æk;Ž“Ti/”]-‚H< >DÁ )Ù%‹ø&þ²c;{£l…Û±çœ3ã9žäÁ(Ï%È—Á—úƒŠ™HAd‚ÅòU0šöÊwì__6ÁèâNÀS`ç +òÒͽdfúê‰FL‘††’iT“¸\Q!XJ^k3ÌL³†¦oýº¾Àã"RQ‰‹²kÃiëÖULÖÖµé jÜ,è–ÈX¦{ch‚g_vämgÿÿ2}ÿ +a2cŒ¹Æ}b'v里ëÖE{®~ôèËaíèáÇÍŸ§¿ó«@(–ê4ª1¡¢ò™¯A´©–ÒUãCbhD8wƒ²W¦+YB •¤‡)2hÂhÈ™$0q«Ž†‚/†¦di§~õçk7{t"B‰!Y¦ Œñ²²4ÝÈð*¼!G¶¸Šðx3Q>…í}b2œk\`xèÒp—ú@&]eTc–•©=뮓"â¸ÀZæì»V²‡Pb,â=p%=ø­¡aÄbÒ[†ˆ˜“Ö¸.ýçg&Xï°%©H²±É¦æÑPóÅ«…LȺZÑ Ç¢†[Ó¿ùõºÿ”†Äôã(Ûƒ”z©†¦FÄï¥ÀËÀzò+ã»B@»´Ø™k%™8øsôØ +œž£ùôr<8; &³i0qÞSïÉÔyϘ¢ÐL@êVh='†ïzjßa +XOY7íûæ•÷ +Z¦EŸ Bì&k+*a<“Â7m²ížZX²h  y^íb½b¯³8ŸO2‘{™ü£_b_k‘¶öØeoû‘Œ¯ÇG€ÕÔž‚Œñ}Ø+>||ß·è_´n7ök>}ȇ.‡(J˜N!™‰ïÄ_U+°žÜð5nrWÇLbø®jWšÍ–C.>ƒ¼íY4Eù|„'=“áã‰úÓ˜eŸõŸʾ†Rø¾(”œ`Ñ+^40i‹îîÚåú½è \6%Ûcú+À +5tÈ +endstream +endobj +511 0 obj +<< +/Type /FontDescriptor +/Ascent 891 +/CapHeight 656 +/Descent -216 +/Flags 34 +/FontBBox [ -558 -307 2000 1026 ] +/FontName /HCDOPD+TimesNewRoman,Bold +/ItalicAngle 0 +/StemV 160 +/XHeight 0 +/FontFile2 516 0 R +>> +endobj +512 0 obj +<< +/Type /Font +/Subtype /TrueType +/FirstChar 32 +/LastChar 146 +/Widths [ 250 0 0 0 0 0 833 0 0 0 0 0 250 333 250 0 500 500 500 500 500 0 500 +0 500 500 333 0 0 0 0 0 0 722 667 722 722 667 611 778 778 389 500 +778 667 944 0 778 611 0 722 556 667 722 722 1000 0 0 0 0 0 0 0 0 +0 500 556 444 556 444 333 500 556 278 0 556 278 833 556 500 556 +0 444 389 333 556 500 722 500 500 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 333 ] +/Encoding /WinAnsiEncoding +/BaseFont /HCDOPD+TimesNewRoman,Bold +/FontDescriptor 511 0 R +>> +endobj +513 0 obj +<< +/Type /ExtGState +/SA false +/SM 0.02 +/TR2 /Default +>> +endobj +514 0 obj +<< /Filter /FlateDecode /Length 41483 /Length1 65712 >> +stream +H‰\U P”Gþ^wÿ3Á 9âÅÀp)ƒAE4J„AöòD2vb?ÓDD³&lÉ;¯ÓMðG„>®Ïsk nPˆn@[ß¡ ±ï +ŠpT·¶e¹¨£%tAÈA¥ŠWzcÎP:[c°È8ßaŠyÖ6ò§&}EßÄŸa&¯ô&V1ã]hýd²ñ>,Ãó þȇbe’×Ãõ&î­Ã)ŽH3óˆÄHLÃlålœÅuüD^ÔŸ¶P=ã$Ý3ÚN7¯b1×ÕÎ^>Â>Š¥Xá/ü9[þèƒL[‡ZÞÿœ tʦ&:(k÷0ÝMûê›Z£/²˜á{8È{<¤öád°œ¯z«ùFÜÓeá lÆ œd—9ï?á1õe\oˆ¥zŠÞ®o0"ã1¥X€×ð;>ÕCø?ÐÑ=[Ôac±q_¯ç܆a8sÇÞyíJ>¥]hdœå(»…£H ±4òiUS#]  Â$‚ÄqGºä1yI +0 È+ù¡7ïkÅð ¼ÁÙ^ÏñnÇa4“/…QGt–ç?ƒE +c›h—år¹Nµ+ÜWÝÿp?Ñ0s•à<¼Šœ…ïÉ9ô¡"šGß2ó·ÅnÙQv–VÙ_¾ 'Él¹JVɿʯÔ\U¯.# +‡Qov¸g»Oêtýç‚`b^á°!¹~ò¸šf1?'c.–`*°–ëe=ÞG=Çý9šqßàŸ|  æ\È»—pÕ-§µŒMô¤ÃÔL×èQD0#B ÃD²Hùb9£JœgÅ-ÙSN—Ke£Fî•”RÚˆc¤•Fé˜9ÂœfÎõø²õîÓ¾O³Ÿ^vÃÝÝý¢»Ú}Ð}SOÖ‹˜(¢Ð™®d–›¸k;¸÷â¾Ä¹v®HÁ@V®ŸÚ0A#ch<#“1…¦2”KŒ¥TFoR9½EkèÝvläØjéCÚËø”ö3ÎÐúŽîÐÁE,$Ws¨ÑbGš,Fˆqb#_”2œb®XÀ'T'>ûÄYé#Ce”tÈ9r“Ü)ÉÓò_J(›ŠVCÔd•¯ÊU‹:©Î«'F a7 +Œ㩇)Þ”i*2m4}lºej5›Ìæ\óói³öeµú ǽ¿ü¢M-4Ï覊+|/¤ÓXI™œ1“˜$‹åZùµ‘G÷¥….R…,”³ô6™*ËRš,>§`h$Ê<¬†¦zqM<7•/M·)B½CŸŠR™,Lm›§”¯*7nâÅëÔ$ËrY®ÿˆD£†®5â$,êªðÁ¾Õ+Åžô•(•ÈRñÆrÞ?4r¾‡ŠUÔWžV5¸!­âGºOÕ¬Çi” +¯ˆATÏŠû”zã.Í“ÞE}FßP#ˆ¶Ë:-žáÓr oÈÐqD§¥'²Û8R˜ð¥ q_dʦ²?«Ä×XL’b¸vþû¹1›o@•gM³³šœ¢8`ëýC÷6Å6Ε\g[¥ +ƒ—Å1$òݸÁÈ +Äa?×à*ĈX¢ËhëþÖOF*B4y±Zú3·¥ü^ø‰`ÖÂi¼ëcÖÿ£¬úét¯‘…oV"TÛÈjegeÊaý­dÌÀËÜÚŒõ¦=Æ)Œ#@YÜ5\å—ð +¿9ßòþÝ1„ùMÅVecÖVæ9ËžÒ#((;Êæ¢äéÖ\¬Ã]"Û]üoÖ«6ªãÏÛ÷î'ÄÆçþ|†Üñ8#ûlÌOù9SàŠ}ƒiccî\§9ƒ‰· ?mŒ"~ò€¶$iDAµÂmx6IkS UJ+šV•AIh›’Ж6A+ˆä×oöÝ;ÎZhUËßÍîÌÎîììÌÎ>¹Œé®3=r™À:Þ +í ôV +{û}´*ÎëÔ;;Ú¦Ú‘ä5 +ÃX·Þ÷íÇßébò¢ºÄ®l©_5bã׸k»摦D¶4È¿É$æ0E(ž2âXx/\ØØÀZbG2a*;°`€÷Á{²w·F1'µ>`> /Ö×ëS8˜Räå[ƒ}¥¥ÑëC*Œ–„4ùõdG}Yo Ë·žœ +L)©®êõÚní]näåg7Ödd²%‡s«qyƯ +[¤/A8˜ÕX’б§yü³f«çaþ’ +´ÌNœÇ:óº”á«ßÇú¦+äÓÆMÂùëŸ~2’Ó‘æ¸C¾›ÄMŽ’L Aî´Ípج¬äñÔáDaãBÙŸ]]µ¥_˜ú_î£ÇàÛŽdm +œ òñîéÒ*tÌÝÐ*EkÂIS¤X2èHƬ`I·#ɨ§tÄñ›ÄßcLoyæ¿À7¶8¶¶ÖTÆþñ[Þج76µ%1#•ömcˈž-Ÿ—‘¥[Š-€ÃM-O-ÑzËÛÌÀ¿+×cëR +H5Øh×%T¿HÚ-áWåTˆßöÌÌÜIäñ\ZÈ-㿳ßãEKŽˆ›¾Tƒý› Þ§R¿õkIrG-½'³6<²?D„yy† +ƒµrÑØÒf£FÈ⸬ #®âFÊèè·ºWéŸn ¨ 5alˆ¥œãï·Níñ›ñ½Ilb­R‹Ð´¸WWv7õF•ÝÍm‰>±v·$ú„"êR‹“½S K p?K®`.3¹àê²¢Oxåxÿ@”¨[J5ÉýÕý +Iž×á)´º_Ø<ŸÃài6/*yüÇ7E]K";db%«å_¨Áá­ôÑ盆Ë}’“ýç6Ü¥Œ[Â^Øj=íÐð +|Ã}œÜìâ[ÔY 0 +üýÚóÂø§ÐoÝ/"¤‚¿ø ¨š° +HË€g&Œ5ïòÔ}Ôîù:u¸Î’ÏÕJ“¥hëÚGT©m¤ Ú +ÜÇz³Ô‰T‰ödÈ*<1ö¬u™å7YŽk…ÞFê†|!úEž}ä-ŠÁ/Å<ÇØfÐFõ ïÕº†öرíÏAã°µtø¢½È‡Î—EÄZv!Ú à›B´ó€ôn±ÆçÃÆNÈKÐ<ëæƒúy,æ¬P/(~å ÞT¨Wk¡ÈGK`ß¼ggOl?Ûôogû²aÛ'Á¶Š;¶}"kÔYò¬¶§÷zHœ£ +êë:Úº»„b Ïš„ý}D´Nšà™hý6.q½I³Ñ÷ã%xÎC´S½AQÈÂîW7´PÌ€`¶u[|‡&ºCô0ö ÓTØžäØC,LÁ¸f©ßI“´ËTŠv”á%úsÆOð +ξ´~¿ê%ëSÌQÇÀ<ÀèÃú5ì>w¥u¸c¯@ö °12ùÃÐa}¬ó^Ã>òÉ8ö€™ÒçãàAÒÿÇ%Æ〹¯û +ðsààeƒyÇbü$ØñÇ Ç&ÇdžŒÄ“ŒY>Çð +ǘ3?OÒn ¨ÂGÉÎ4*1Væ Ÿ#Û̹ÀsslqÌ8òr;î•k¼OŽ©,ª»ªäÚ29¶²hÇ>S5*÷P!iǬík‡Jbœœuìáü”9ªvQ1ûŽÏÝ¡Ž/2ô… [æzÖfÐJõmÄ;Ú΅˼¦ý€>;Hx© +gɹûj=Àð )ë1ß |Y®£W%“µ!Ååê±®¸zÄs6œv6Í…2h˘2²eÿ-ÿ8ïê¡'Ñþ›kȲ´!z{%Ïß•é@À¡à÷Ý@¥7¬ðv)ýžäCÜÜžÖ¢ø~Ò\micdÞ…À_¹k´.š=_j/¨+註‡¾¤á±–8OÏ3x~Ð +™8ʹ/Æ’¤N¼Þ…rä;TæTÄúƒÌ«ˆõG™“kئáÚÀ÷³¬$ïæB'^3qù•«7³â3'N³âs>ô|¹q™EG3M×–|'O¡3–k +ï_Þ­2Ÿä=YŸ3>—fôS¿8n} ïásÔæä50Aþ‹ô=‚{çÍ5sŸÕî~ÆjW—ZíØçOÝ»@¯['ÅT«7SSC43}—•:µ”ýä:Ge™:¢GÓ÷Yˆë©v 5Ü®£Å²~þ…Æ»®Ë»m¦´—ós°÷ÞTÔñX·µ"zJ}HE^21ÒÄ2ÍKcÔ?áÎ]J›ÔÃÖïÔýòŠ©Ã”TÃÈaèÂgã]‚Ê\õÔ’óñPæ±ýn +ñÉwAú8+ç^æ³wߦ|`ªë*î£VŒ9.÷’÷øšÂ~º›QW0—'LEš pzLHê|ïéÜY¾H×æ…<§{¹ŒÙ©3˺í-¢Ãõ:ÍÁú!¹VÕz#Tîjµ®ÊwE=¢ž¥éj=„v©Œû]¨Q¨— +¨€ú0ŒØôÙ}Y«%µnÉz¿MÖóÅ9¨(ðwYº¡/@¿—…ï§ye6U‚â=j•²úPœ'Äi«‹ßêûô„úCœß +ªm¨ßo£6ÎG +_ +_ý†ê¯Ñž þa` Þ~›¨@+ NõÆÍ„lôÎaŽ£3vBç"è´@ý%­Sñ>¸Äo +j›Aê©Nù1u‰[Ô垃š<ßzMÎÏØd}Mâ(ê楴nÒVw³y+Þvw±WÚšm'ÛxûxžWêaŒ¦Q‘uÙt¸Iì£àˆxc¿J[•cÖ)åÅ•ËÀ¡4~B +’öMȱÙʳÀ4m6ý ØŽvèià„ݧƒÀÀÌ}ô¤Ÿ + ±ñ +Þaàð+G– +^ënül¸üÖ©ý·Pkåöpc¤L®¹æ`½9ÚëC½‚¸·Q‰g •¨SÁŸ½œ¾Ë{î-šr/{îå]š.}h#z?{¼_pîr}þÍw¿Àùn—6\Å},cˆF+ç­‹ ­ÊyÔí͸Kô«Ñ/vüéœø/I~Îù!VH%럹üÜ~î¹Þ«/NÒÙpâ /ÒB†¶ãܾ÷ú÷ÕÕq…gî\ßÝe¹Þe1Dؘ±Y/¶ñ›¥Ä¶ñ]bBüPì4ˆ+e) ñM¡ªÚ1´¥…4­Ý@;7Qm×Ë]L–G‹¥ŠD‰p¥ª­*LKÕUUç­ûÍì½Æ¬ƒ§éŸjõoÎ9óÚ¹3sÎ<$ ]„ïâx]}}Ô!G9"æ„=˜?^×jH¾€’‡¹fŠ68sÀ¨~÷* êÊö:â% Ï. œB,Fý‹qçcÖõ±®ìHÒoû»¤~ÌÏP/uÈg/‘ðàˆÍ£ûÛº/îÚó'÷û¨.î’¿¤Ô¹s&îœ +œ•{õùÿœw··þ×cQ‚½ +x™£.#+´ÅÈ=W-[ÍãÞ“áeŸŠïzsØß"¶ßŸÀr¬ùkcæs¯7Î]Œýã äÒ~‘SŠv-¾bEÈH€‹î—l†Î‡™9;ô vMé"ù„ÃpÕœ™%=WÌåË­ÂK’…øü¡«‘)ì +ù °+ì*]¶ŠÜŒè0Pö,njJ8ig$1@!ûCütÁm–~,üG,ûËÐg‚[|ö,ðKпhéßÀ¶ívYÜÎvšs¸72þ `(Dé –î 4IÙwØ69ÒIp¼=ÉX®&3×/¿QSü¾Y¡v,i–¾ +ׄ•k"*\vÆd¬uQ§u±*%l'ÆÛ)’H/0¬ûN¬»°Ç û€~iÿ.d+Ð.4ö Ö±³Ú϶˜›lsüA#TvŽ=¥6ØÓñYÙ¡–;škŠØˆàt‹=¢î&éÝwMÖMñÌì$£ÖÖH:Û@¾(¸7<à @9 ² +f^1?Ë#ÛÄHçÍJ3kV›ÓÔ’rê»ÀB¤™4'>¶€„Q¡Gôt«ÁµÛż®W‰ËpÕºÒêY3kaŒ³bVÆjX”¥%FúLÇÒE c¥¶tQ«»Ýs÷¹ûÝi1­Oë×´A--G+Ñ ­V[§5h»µV­]sµj­e»Á½Ûͼîw‰Ûp׺Ӹƒ¶Gö²õø›Ò 4­€Š5ŽÂžÃž¢øQ,ÅS°HÍ ô£<NƒæA=êy`õÀê•@ +O-°h°¼Ú¨Çn#ê +€gK‡5k;9(J@%4šMG­~e3ôB浓¶»Òö•Xþu€&ýƒ²Ží3D[eÈøj~_!ÒöBÚZHpY$dÌ…ðù|Q4-ˆv¨õþú@}A}‡Z㯠ÔÔt¨eþ²@YAY‡Zì/w¨Üϼ€w¨-Õ=Õª/W«ÑêúêæjVŠO7‹JB’ç÷š³2C¥žÈ2¥' +Ù\áÅ@P¨J$Wºa통›ÔQ +-ºÅõÉ-Ÿ°·IŸ( ¿r—Ÿáw™KÕD*qåF6€¡ï.ø»díd©GÚcÒ^cÕo—vi·a¸àêä5W‡ãWGÊ€(Ф‘Ël +¹ + gH4=€Êêð[ÃÖ(Ýøu)],hè gp2s&!Ä7Íéx•©Ø:‚«‡¥Ü/e™”yFz¥~³Rÿe¥þ½J=¥€Dà8(e®áŽè§"zMD/Œèèí>’Kte†”šôoR>&eÐÈÈÕoåêåêäê¯æê;rõ/æŠv³qvu%CJ·ô%)+¥œg¸¹þ××p½”ë£,—rŽ”YBÒOyÊ=ÄuŽ~HÊÑ5Ã…<¡ItÄ G@·ÍðJа>ú—>ÀÏÓ[T†4zÓÌ»Î#3èÇ´BúG@+H'x¼üS¦ð 3¼GÔÿ Ú~œÌuŠú¯‘ZÙ®VHû«V»WÌàzŒzÔ ~£!A9ê!3xÖfp?è3¸ +ÔbÄ·˜áù<2n&yŠ¨»1“jkÄGÑó6ðÊdãfP´*$èæ!(_Ìò<õ“Z97ýòOf¿ìb6ñËIg‘€ätê‘“×É\ÉNÓ¿½h§×ù?ÃçÄ'7¨Ç<Æÿ|ÿo5Ô?Ñ +³“ÿúŒX.“_&hà4¿ä?Ç/æ%èj“÷N8. +íå'±È1ÔUèiÞÜÌ»ýÒÛᇟº-¼€õ×ñ—ÐM¾'x^LƒlÇ?^ +÷“Á‡xu¸“?HP¸03¦ð¥þ¯ña^’ ñN¾0/!¦R‚>:Oóùqž_NåË¥g•ÅÄA¿n»ë«;–998rÙŽÙŽ §Ïéu¦;§:§8NÍ©:'qf$FŒ"‚S˜¡yiªª,{!!Ä­¯P§‚³›Îª”ª'–Ó˜¯ŠT­Z+-ªJ8F¾[RTsÖ~eíIJô$´˜²/AɪµØ Â´7+æ{xíBiñÞÿ°^õ±M]WüÞ÷aÇŸyþ~þH°ý°äÅùðGœ€Kž›¦Úƒ“šdŽ‘`]Ã4¡Œ1F·¤J›˜Ö‰n0„Ù0Pk§¶Ú?U7íµüÑvÑ4UŠ†´(emïÜçèÄ?“vísνçü|Î;ï{|ß'‘/<“ÍâtéÞJºK‹C‡zû®+ ðÈz´Ÿï7n0ômL>…åW¹øxðⓃo*OeJ—š²¥™Ôš²éÒ³CîáÌMjŠšH%oR“Dd37ñqj*µƒèññdö y©I€¡8VA^C^\‘a›e”©7•,{½uÐ[xAù¼%ƒö×}­…àk£šÑZÙ×Zª™À êΟt¦E¸QvÖ¨E²3•}>€´û¤ó ì‹ÉæËÍ‚¯~9Yä“ãøpVŽƒñcLKU°Š¡#þ?ÇøÀÿÆ•‘ûûÆRãB*/¤Æò¥ÓGð¥£nwyß}bp—h~tì‘#ã¥ûÂx²´OHºË#cO1óˆ,£±ÔÎLyLO^‘FRÂH2[¹83˜þJ¬Sb +Î<ÅÙ q6Hb]L?Åœ&æ‹$VšÄJ“X¥‹r¬ôŽœÞ–)7 ìàp]V(öCÞéÉX¹É +òæXïá_vÞbümiÄlI+ ”t@ÄLÄ»“˜ô n\5ñ/¯÷8oá_­š8P„$">u0ùè[(¦ ‹"ðé"/ë¦aÓz†Ò¥ÛweJñR¶òn9Þ.­[ßøáÊ_IÞ¾Úýäí„sÎi¸UyÖfdŒz›ÂШäô¶f¯0h•¯[£v* %çT³*¤04¨’³ëxÊb¢Í6½Õ¦§,M´™×9(‹Sírªi3F*ÊÂÐf¤R;U: ©ÊŒJo³‘ø4ó¼ÎbµÚíÆQÚh³ÉÔÔär1 }Ci0››×¬aY±•\c£V«Ñ44(z¸¡j²ñ¼Ó‰ÔœÁ`6[úõ³¶+ºÙ[vŪøœ”5ì 8:cß³ý óT».’Žì¼Ð~ÌvÔ^l?Úu,zŠ=ßtEqEyÕ|Õòûð;ѳ_DMj;–~–a<Ñ gÜVKÈd¢~;Ë`“ÕÂkú»øGÈBÙQ#Òã (€Ç®Ããañ›ø7ˆ“º¿vÝë]£Ã·ñ8Zð™ÊU36Wñ+’5ôéÏ]Øå@1ìŽI±|ìãÓ»åÑ!OÞ3é¡=UÊx­íSU&i9äF{Ñôq{Ï-|ò¼Ý)·ea~!'wªÜÔbn ,æ¹yòçæç/Ãjv“±¯Ï`#“…,Ê +jp'4}{íAEeŒø«µ’&,,J’ww×à1©­£»¥µ©Y­éêîì¦Í¡QÜ¢iEÝMÁQÔ¼¦#ت€* Ñ"R¢Ì ƒ·}šÊáœh +[­6ƒ_Þ¿±hØbµÁRðû+iZJ‹…6(³ÕÔëé‰FüÃíšóç>IGo\’vô6½Ð>½töƒ[Òž_ŒâÑ}#™+é–ÞÄ×~†·žú±žzîô¡ÍG¾U5 +³z冕¿\xU¿Â”ÞxéûâNœ`„Ú?Ðîߺifé/LIG“ÿˆtíöKèÝè´ g%?Jœ¦¸4VèUNÛªwºí ųmΉ–‰ðÄÀq§‚ á4tóŠÙ!Rj×#­R8Е Œ*ó®|k>4þÌdhú™ÝZ­N4)6„­.­ŽjS(þCuÕEqžáïýövï–=î‡ûÙÛãï¸C@à»Ca±â¨A±4„“QÁXAˆ65jÁ¤Ñ†ÁN£´R¡cj´ÅŸšqj5j3œ6é4ÓvdRÚ±M˜˜ÔÄZÃÙ÷[è$Ùýö›½½Ýï{Ÿ÷}žçÂ25­,É^V–ÄéfçåòõP˜”-ÌÎ)³UˆbA¡}ˆp”[yaî¢tNŒÒU²,s:-RS  “J¿ð66šepe&‹¾s9©<µZ–ÝñQxYµ)©~ðï‹„ 4:ï\kêöTŠ-\®š¸°´AiU:”e@9«\UÆ”»Ê'Jœ¢T¡ë}yØ»zñŠÓ™„G»ejE妅_ŽLÁŽ/¦fH«|òvÅ|<˜4 +`feù†§å6«|*–ímàuÕ;…sÂE²Àt*S˜Q«"?ŽEÓ\.è2‚ŸéÏôiIž¦¥„Ÿ=ü·Î'ÖÖµÔ\\±û|'¶­:µqÏͶ7®ýâ~~Úw÷¾päp´£k(Å™{iÏîºkëÒ~û½Í󿳫kgùNn‹O_»ÖÕ\[½4±{Ýs-ßzqקûš_™zÝ¢î¦ç>üåïzó2yiÞ‘g–<»«¤`×”ráäîÊ“¶¾>‡5z+QYÜšÖ¯TãL)Ma‰É¡‘ÉaTº%ýQº'éŒL / 2¾[d2¨Æâ6®3~µF“¨s+,,À¤|9Ó:hÇš³}]ÖŽ‡å`nîÍ +8OG€ÿMÌ°…8ñÏT˜ÍT¤À‹#åÈ<ÄÇ—ª &“Yµ†æs¯yЬ3+òÍ€‰™àæ”.G£¤)[y©•L1ù|òKø<'G+ݶz›¯ÐjGãð†Êhˆ€íÿ>Tym¥ÏÄhd®3Nïsûènþôñö¹)Ôç£É/Ò?ÿ0Û“’Êòp6îñ4î1šÕ—ô.©Xv%ͺT6˜SœÎ,}©~©þ”^P=ëuë ëåu®­†Ö ýÒOL?²ž‘Θnó·å[®ä\ãžGºG²ÃÉ:…Ot(NENvéEYrIÉAe±òªÜãÑ»Je·bT„xN¡¼à’™ªØthšUQTíÆòNÄ(Wˆ&“w÷(ÀŒUF¸B \÷0PcJº±#>|ÊÖ`kµuØt¶(èU›Š›rêéôpÏ ‡z”Qx„uªjo ­´ƒöЫtŒÞ¥ŸPURGàÐWùÞ(vÃÿ>ÆüèIŽý¼ˆ)î-½Ll7lŒÍ¦ÀùêIƒ´îLÓÅ—I~€žü鶒éši+AÑÂǯ7qB¶âSrUá^Mñûõ—7¯{LpR ôR¡0¿gÉnJ›D>È茵¡V0?Q9Чt­YJrIÙaø(}4_Ãç£sH;+6÷žª*ïŠm;2÷›CŠÃiÙiWƒõ?ô9³ò­öVžX±§öx#÷ÍG›*_ywpÁ计=ç–Ü:¾D0 ¶T–?çÎ-õè_í­lè~Ÿ2Ü Ózº«G2ºKrÓelB߉‰%&4â4€‹Y‰0g4Ȉ3Êœ`”aª2‰UÔ¥Š¢NÇr¢`Ô!€òUü$ >Ad ’NtÄv‹&¢¼Ì¾ì­Rš3wð™û˜ƒÎƒ™§ØsRÜ7á3!6™-Vä>]*l^––ÊâU`år^ÅéÊdÅ Ž‡OOŒx½ŠmH’ÁÚÔßGÌ}EW6Ž—"^þqLŒSãŽ}˜øj}Œä¿£f&®`…þ"y‰9nfÌŽìq|Oi›¬Ì›khu4iO>I2š ú”2ût…ù<” Ñ7 й +·1mÞÜÃôx  +pæ… +뉡™ÛjýŽg¿ÍÍ×TƒÉ‘£ +„gG®C`½ÁÊ;F½ +ý&0g‡G2OÍɈIÆP\B{D¬' +’ C‚µÁxp"ȉºÃWÈf¶ymómÄ6`‹ÛD›#ï©Ey†s2éQ4TСª!i@|ZËKÁ%0Z ™ªdugz2Á¢Ê~Uò!Ì®:¤¤À)Gï¯Ã™VoÊ6Â=ö(2pZ ++>æ:õ(–"kN4ŒiN|\q€?{¬÷ì©æœï÷ÝiØ}§oÓõ#ØôŸæÙ;Öå/†WVØßå¯âU¹òg¿<°ybè¡ F°{¯˜[?»lßÚÚÏËB§ß»øÀ SPñh’=S`@7¯ îÑĈ͵”{4AòáàÐaž +JeˆÈµr\þ5þóþŒ™¡¤Ø€‘Ld–á9p”? N–IeY†cež,ð÷±7á>™áÆ +Øà0òãÌWˆe¾$FÄ™9­áâÏ]c¾@ÆdÝiššÔp=C7h¾y:?áO÷¥t}’¯ô&ÿ¦ÐË÷ +\R¸°!Û ŽàÀÁ¾*`ãÄÀo™»sK¶á£s}­ó¿vóþ×¹[®ÂZ€í½½9…q'¯†hÎ +[ÃÙ1CÌsÆ\=jÌ0|>ãŒó¬:b¼ä¼ì¿¸¥¿e¸+§‹H™qJtÙîTe5¥ÂoÉ{SΣ”çQ1.GåxeîFüíÀ†pjÂ[˜S 1¼ ïì(Øîçúù˜ÓõXz¬ý©ýéïqÇtïZŽY§¿ïÿ ðAxŒÕMþnœJ™ +L-Ìe)PŒãçòËtÈè pÚÅl×¼¸ÀÏ£7›ì.•€ë(Ÿ¾æÃÙ ,6£‰0$R‰G&"\Äw +¾`a‚0úùvb°³vGÑ8þg,ÔžÏhP™žœI8t*xLSˆ|a~È“mIçtiªÂûÀŽ‹î:\¬C…V؈Ù¬HµãùéóêPÈ2/!õ¤Öé~¤°i¥]ó?lbº=‘}ô35šÔ:U¾M ·ä¶ÄNÖÜ9úÓ–‹C‹+þ<|³e]^°“쨯ED×®9üzK9s±7¾®÷ÆGmƒÍûW×·öÿ¦cÓ¯ ÿ©¥«rKûŽÊ¢ÆÐÜ—/ž©Ýs¼³jÅâ&`ÐK0 ç@vÀFÞ¸Çß;๾K×)µwʶvoŸî-›^Òõç1Ïëø@†ÈàYÊ!‘Ç›Q&—k`³™ˆR·ªàœ‘‡¶'…Fºd·#9ƒȉM—‘ÕlõZYë~ +h”Gòby,É«Í‹çMäqy˜2LŸý +=£wä~ÍÏL' Íl‚ú%I8™g U÷5k©õ+èÊÑYŒ~³šé÷ù³d¥¹M46éàä5x ;Yà’-©Ï"‰6JÛ öH4j]” ÿ¢¤™a€N˜6(Ñ! +M-=¿Ëûiwÿú]·Ï¶ùëí“×™°µ¬cUõÛÕ¥ ¿—©2Ûq·¯ýåòG}ç^üßý¹Ž=MÌ•žÕ›>ßüCûºèÂУI<Àì¨l˜uŒa•¸å†è€#á Ñ@7‘4–HEiñ4&íVaoü£=f4ï­EJ\“&$Ee{挕ÂÒÒBx„JËèÒÞÂkÖV–8•ÑÍôÎÜE<ÆÃóøÐfâRÔ›–†èmÓ'ÙŒQvÙÒÌ’q4ÃHŸ+uŒ]M²<$ÖJÊ’)ê2›”,%¦°Ê§.GNS§Ö¸U³À‚¢’YxÊyRë“Ö*Üúµf#QMð4˜>Ù»ð-v&þÕ†VI +ª5uAqy´¬¡Ÿ&‰g'd÷¯±ÉRêÿ)¯ÚئÎ+üž÷½vû^“Äv|íë8ñW°—ëäÚ„‹ÜP@…’ÂR’,ác£*m‰CÕÒJ4­ +0!LÙ€˜hö%´•dBU+k'ºÚMt °’iS…Àν¦ i¶ëûžã÷øóžçÜç<ÇžÕæ¯ï[sÙ>FNr˹ï£vûâXñ +ô©–rÄ&‚aw¤'š–°þ•2Æ¡°a1£Tà+Fž÷v„q„ óÄ挱jŠä3—àE/!Ç_BÉÉÁ\£Þà¡…_Çoæ‡xŽ§á +žîä¡ïçi?†©Áð[7O±A,Ejú§á&’p^ }®6 Tè;¿ßìEq8y·×<ò9”†DëÍOúª¦¦|^tòä$ꯥ>é2%"ô–C%àãÒwW²ýwÞ§gàËî½pïÙn¸`b¹¶ûƒH6D¼Ð£|×ÇQî{ßÀX “Hî³²8ˆ +ÚŽæ 9O>!<ž§T8# C50豋f’ôجk¸•³4í-¼‚Aë)iéÍ'rS9¿S¹†62ëášî¼g.¶~ÉÍ%øÓįËÄ8£ÄK<M°föBÂÙDÞ„‡ÿBbB(Xr äBH„Ðë`3 )R7ŠxA|” +ß­åÂÃ+—­ÎÕ‡¦Ï;ó“?¬x¼uù÷Z+p#¯îο2{óÈ’Tä9¸aéÂü/7t®]°÷™··ÞlÕLÆÑZÍ|Ë„ðï`¾ý$H½G¨U•Ó ¨R5@P“@PWœbŸ.W ûÔðب_e’ÍïàVÒ„M¢6¢µ˜Míƒóhš™¬…¿ß­xÈ›†Ïž•q5¤C±9%É!—¨ö`{H¨”ÊeŸË§(~o@dL7žêL[>Qoù±ºb¸:Z ûÔbØc…Ç*-gü@.O;¤Rüòfi¡4_^ ¶…º¤erGE§ú¬´F~F}Iâ†Û¤ayxÚVuKpŸ´OÞãÚ§—ŽË¿õW/“8§~,]”?—®É×ÔÛÒ¿äÛÛjÒ.=¡Ð j_L ¨ªßî,Qìn¿GqÛ¨¨Ø*]JåU’«eÕï¯qÉ®¸dÉé,Ð÷ U+(UƒQ¼©¬Ä`Â(³É«t»m6»Í_€/ +»„Ÿ¡£NÃU ©ñ6Ô½a8« +g»óNæüiõÚmÖXåÃîäõ™å¤)+ðö&Ž˜S¹agqŽîuâm8Ìo:›ðyäÓÿm‡åMgsbOk°L|uÀ N”!Ñu•¡ Öièà.nÌfWJÙϧ¾è©™µò^GG•>þ\ ›{—N]ª9þÂÕðî…¶XP#É›ÚÍõÜùá–§øH„«%ûÀAÃS2õ} +!ÜUœjT’ 3é&#ÕMºÕ­d‹ºUßã{+vØw8vÝ÷·ØgZÙLòjì}oã}4ü ý¢ïbìb¼„ËègãÒšY³*ü5iÓ­ô¤u#”DS¥¦Ú8%žžÙê»ÂéW""†ˆ£Qf•‚â«PÝaw¼2Uß8/¼0½ :«ºc#Ô%9ÛÝáþì@v({0kó¥|í™ÆVãU'P¦zÔ6}Kxoø’.Vgl{v]Åúù~¡_ìO½$¬÷­WÔÃëc¯Æ¿#¼©¼©îЇ²ç´´ÏÃ_†«ºlRP±‡jä âÕêadú$É$‚aVS73©³úšx&cw×Å=7­›•²3 +Q³ì³ËÍ1ÝÐxKkÚÜŽ?6ßòFÆŸìóC‰šòS—ÎL6˜/Èó2Ó œç(Aó Ç83Xâp¥QTs€Câ‡F$)”—ÓŽd™$™Öá@[ƒµ,É´Cª6·ÒþæìoàC"+À‹Š.±øf"‘[4‰µ3…=²7ÿXçqÒÀ¾v]±ÜdWBÆ–:8iØ`Q 㲈õ›ºŠ<šHXLÚª¥kã^DŸR¥PAˆ†Q¤ëѸ7ªƒ&6èP«Fu–†Å”:R|½N"¨,£ãœ*ç¹G„rbº5Óôæapp æ;å3Ç¡6”Ñ›fd\¦¢«Í„ŒÍxÄmjç"[‹®âŒc©j‘mŸ¿bèò•©!½#â ÄétáÛ«Föoœz-Ò×¼k÷â3'V·¿˜Ÿ8õô™³;úkuNÏß<Þ™Q;Èž{=”ŒxÃÇ^þÖ%Qlùö¢—æ¾³N9´¡m××9{3Yxÿ/¼„\j̱«hTcZpDÚ£’M;*›VjSñßÃ&öZå÷v¶Íýñf'™½Œ99xœu1^³É®°B +ÀOPà)°'ŽVïåã~zy•xG¹ÀZ'v88¨£À4C«°ÓÃåÿrAÐÕâ¢.ŸhÏU{Aò½Ôk•‡wAdõ*K7'zM.–{o +æÿMwÙÀ6qžqüÞ;ç|þˆs>Û±ÏgçüÞùl';Nc›näã¢B‹Ödmš©•<`PÚ&ì&U RWZÔ ¤ 6•±­HÝ–ªl|Ì…•†MšÄZ6&X«}’I ÁJ6¶fPâìy_'„vªÈ½÷ê½;KüÏóž†Ó2©™å™âÌ•žéë3`9d^=KñÆ +ï¶á„+ÑhðŠ#͸°r]9ƒõi†¹K®6ጔ‹È§SÑÙ€_" –y›#ƒ¨'e“[bûªv_ùÞ®?>;:½ÿ¹_ïP×Woœª¾þÆî¨çÍoìi‘ØU·¡ÚñÛ/V/^ªTÿ³·üªÿø«¼ó6:µ¢Ñ§dI•Ô¡Jîwj„ÙŽ³w)®èóâ>ñ÷bݨ8êß%î÷œUÎF/ŠBÈ+ù£Mœ=€v…_hbS¯* ÖìªRõ –Õ”ÇSÏÊ©ÆFFˆtH¨6Pf%Kª“*s=A4”ÔI.v÷ä-ÅtTÒéS:§ã ÍÆ ÍÆ •;£†[„läé!&‡üAmÍ<’‹³t…ÙsļI¡,¦ÜgR,njˆ†?ÑÔFá,Q¯:ŒŸ<¼ ÿΠɘb¹ã㉳IÑÎã$¨Î€WB^èÃñÆÉ€´Ö]g&ÎT·þilø*º¯ú›Ol6–àÍÜƱX«±»zúBõï§/~)‚–£ ’Ѳ(‰uhmÇ@ñT°z¬üS‘m‘ïdšÈžÊNå…a¹Ä—ìc˜cœ·ïö8qU‰bÍPë‚E°Ç£:ÁN¤ÄäÄŽYVå{DTX¤Cÿí`›&-¦Ùt…½¥¢Õ„€:U®F"QÁ1!üD}ÌÎ2vÑ>`çà·®Xƒô·F3­¦šnƒO7†'bÐÑ\R8åÑÁ|)(Ïå‘¢)‘¢5#NQÅéaœ¢ŠÌM½v1¤˜L”äLqz¦xyp§v*:ܪ´´ƒUÂàAæqú:#þ×Dów‚, úRL2 Ã«'’(ØëÛ"yg\ÍØ’\‚š@-[’9Þ0<é‘Ǫû¯l~:ÛÝ›ÚzûýlÖŒÃñ¡¬-Ð tÜ—z²Ž½ªg¶TSk#zªÚûD2kë~¶:aEk-WÞÙ”2ªïm 4¢ˆª@4Z~’j« &k‰±®à°9œGÚ¸ýæIóWæ¸ æ5Û5çmÛm§£TWâÇ€ñxÝ8¿ v§£…µc·»‚V½ Ø£ªÄPÉIsÂ{hílR•ÖÍÖ”Spà ¨Aþ`šÑLJL±)BÚH&lcPHš© ¦1ÍÙf«¹ÔlkÞËóª +ØÑ[vd'­Y†ñP’ +ÍCIz´¦(%¥‡QJ2z0óI7CçÅ›Åòìe@ôþY¼ ØÁAhÎÓ›]¸Bp8¨žÈKÄ «ë^*SGGàžº´Àž£Üzl Þ0P²oÙ­z' 2í³'³C‰P½S… àþ]¯‡ûžü2@{å¦j~à!£:ü–¥a´Ç¾Êm¬í«ï®zà…>„–5H\˜IÀ+¶k€}hÇéêì®ò·>_ùR¯Úû[/?õožz±ºíÃëºïí‡vlºßçS8(qC‡>¿õÜkÿúEur_Â@/¬ïÁ‰DÎøJuM÷gï¼yëè+¿|æ ¡æ€ÞäIµ{2µm«M„?ë·ˆhŒQ™»yœ1r•¹;–D¶9û9Š(çƒ,9ö!²Óh¾h•¹«M¾¨…{E˜$£pµÂÕW†qÃꀫ®N˜1]]L<žéb3'Ëô´ÑÉò ”ׯÓµ‘’4yÎ$÷¿˜“íYS±Ê¥þCýçû§úm¾þƒ«0["Î…5MU"XË©Jk}ªÒ5VUœX÷©Š‚u(i¬çU¥ ë €+Ý]].—“ͤӑˆ"H>µ4tIC1-«•´CÚymJãµ +³ÂbÿêþÉ~.Öúû -?˜[cs—¯ùsÈüœ83fÐ)–G¨Ìv.Nið¯f ])Œ^E‘k1·i@|2ùñ§ÛÁü'è0; +>`f³ì2jÞ`­Ùììϳ&äÙÝôQûì©y‹€'lˆÜ{蹧kÆ{×ÝÙ·èèåêÚ{E×ìöOH¢RIù)Ùßù&ÝÓ¾fîoœÒ™kÖÒ> ­ò­ò³ë‚¥à×Ü?n˜4ê¤Ê–Á†…šPQ*Qc("6Ê,b³~ËÏú‘¿Â9Ë©zG4R™ûˆþ¿a3sŒèA6&šD4‡#+XÂá»ÂëBÝ[Â%aTcçeú‡å§25RýÂÆ%èú§âF…m?Š§¾O&¹ËEÚ”ËÐ=Îk4=],÷tÒñìnï(†§;ìŽ,E.§â’—2PÇ:©ÏÀ8Uö-*f¦Q/[p²uß¡†xeË7ʸ5Ö‘ Æ•6ªg]’Š8ûÌÓ_/v¶Ëêÿ¯Ø&Î;þý¿ó;ñù|±}Ÿ}Iì;ǯ<|‡ã.úBƒ + šPÙÆZ(IÄ+°nÙÐÄ£­ÔµŒmÊP§U ¡-¼ÒÀº’I-£Ó˜ÔQ^Ò˜T:‹†¥kIœ}ß™ç4iqî{œ­“ýýÿ¿WíÊæÙK¹áGgªR¾ºHÏ´˜cÛù÷y¼Á÷Ñ&¼ß¬6mk>í9åu­G Úº²´›ñ³ø›xï6_ÇûÍcÞãü©ÆSõ~’óŠeÀñØí¹WÐÎÜ0: ø¿ä\e4ù l/º«½µ(š»àîv¿ŠÎä/¡;yŸ»,\¦Cn4g›‹»Þ_â_™£xÔ32ûOè*:ã Ü-t nÃçžÛåw¼$ÔÊçsz~)ìG?ö¾•{3ï>â`Lk*šO­n«îšDAó:âR$–‰ƒ¸2I9՚Œ>¦>´¿(ýV!´©6Ù4^§ì`*«¨ZTN+j[G»Üf·Ùd»ÏRÝhTN)ñÖ|‹Ü +©¼7@ÃHBcø¬¹TÏt=À›ï°wé¨#okñ./ó¸N¾?Íc>é´9¡Pø0ikmM§Sí--™LòpŠH’ÃaOa»«í +¯ëšmÈ}v°áYf¹é]ìÅC^ñ‚w iÖk>ËQù,-ðY +áS©Ø³n| ö¦«‡çt½mÔ:®†0b +ù„µ¢¦j`Šv&cQ*vA(-§Ú„ǯ҆žP¯FÏŠþ½vòÙº—…èDØL@5~œ*4›žÚôPB¦qõt>gºµÆ†mvC§­·§·®sÕs¦§™„¼O,`äƦ¯ +†)ðP­;ʈÞ9jíÆ +l7~„N%š§n ‡ÅXêñ ’(ÑP ä“)ø¿”îož‰f²ÏZ÷xìt8ñ¸»ý7˧¶·4V4ë-Èd§Þ‚šfgµú( l‚Ì3rm. +wêç­]:o}Û{¨ÙO’Ìß‹ žrƒ*)1»¹¦¸ºb+ÓÕRœºËPanà$E]†úùw)êbhÄ”$@ ÅÀT—ãoã-xOlìPìd¬Ô1Øk6òkšŸÅ«ª1åzNQC3e»ê‰Ê‚EcHG&âÐ?*ý®ŒcÎEa·áL-ô¿¯Ûí±ZÅcÝõX­âVV÷>6ß%¶º{—'t?íedÅôu€ê+Hœòt‚ +&H¬•‘šmo)ïÖ¸,´"ì·Ö- å¹Ïÿü{ka‹³øzbVl#÷"‹¯ ¨5'/‰ÙM%.rÜ¡§¢ÃYó†\ö¦}_­MwŠíЮõ +°–¬×É>ø©vŽ\&7àñz x$‡>WçšI³þ5Â…ôI꜃ØuIâêP†îZQ‹d¦p“^ÈuçÖ¢mh3 oÔ÷ ÝäGú~´O?„ÞÑäFrIgÉxîªt‰œÏMH7ÉÍðµÜ=ô•ô…ž˜ó¥¹Ú +è‘–i/H[ÃgȇúrA¿N®ëB2$=`PxÖ¡ÒÕTÀ —O£’t€'Áo7wꮜX¬Óú²šP€_°†àŸð) iËkB•‰ÅÚÔ¸¾<šúܶiróËÑÚD"à6¯HW¥÷¯Ø¬íäžGoì¹ÿ +Õ¹éëÓ7i"[ˆRðsÁÄ×°ÙÝô± +C +7T̪ØZñü7<ª* +Ì᪠+s¸*Çê°ºÆEÑ«¢E•"ômÓ—: ·°q‰nΪG¹¸Äï º` +œ@é츟Gxh3Øb”AOÎPv3…B“™Xd®ep¦"ÀT]…q•¦Ëý[LeaÌcå‚pzõÛQ[r¬Üýu–í ëϘ_.”j=1±³Tf$`X%v +m3tÔËè9íÃb +È»Ñ×Åo âô‚¸Mü‚ßÁ ñ|â¿0°äÕƒúë Ÿ¶ÄI„§« ˜þ†c”Íi„¼1J›Ê¬4ØòèƒI¶¦Ñ°A=*[^4}¢!†D Az… +}.-3ècΗ¦ŸØô?ä|FúÖë*ÔËѦÊ?å*ãÿÝeIFý2ôqí¬cà"륚ÉÊÉnÚX¬‘ZÛ[«Zí 'ÿ°Uîï¶Í™üý£ÆùmW}…a4oúïö­öQ9’ÑsÆ>ñ óç`ۃΰËiëtyÓˆ ¦nÒå4#NàbœÎ™œ›_Åê)4ŪÌ*\åoÜ17ö¹£nìž_¹æy«€½‹& ýu÷Ø‚ÚÄ„e +s ûeÉH²"É—û ¤Nº +ÙéJðx Œé º‚ +H²ÑáÉêû0U¿€6Îl–èaùæE¿Jâ pÁŽâ¶â­â⎫§¿}i÷ÞõÇN¹û%z7?.ž+®…½Ð™¿ó`ñ½âñc» :`Õ¯wQJbŒm«³2K=l=‰²ô§¾ÑÒ¤e7‘òÆÊï¦û²oV:É»5§ÒWä+•—ká”M'„‘jMëÙ©ï¤ú²CÙ²3"•™Ê•Ÿ„¯ÈöƒiøcÍ%érÍ¥ÔÅô­G¥¯J»xF¥*De§§DTâ¨*V_[•.Ä»ã8wkÓ¡P»œ.E„ˆ1#}{d~–•à™BÊ‚™Éâ_ddzç³\¶,K +ÁHP}¼…6ÞºÉÿ‡ïêmâºã÷žãwö9Ží³ï|¾þq±}‰„˜4¹nãWC T„(^@¬)t !e„€–’BѬ-Œ? +?ÆD&( Œ +hG·J«J·*MLê:uªhùcÓgï½$ÝVU“í÷ÞÝùNöû~?¿ˆ>zßÈæ&À·/Ik×}ÁGÍâ­úäW4KöSžLµÕ$±5džòÕò˜þEE*é¦hHMié&­”(’áL¨¼\ f‹·{7µ¤EÑ<ßšâóQ E +`ÒF ØM ÐÁpÔ¿„a14ZÑ^pdHIX("ÞM8À©¨ödqêgHŸ<ÒgðèÊoþþ×-#—žŽ­?²xOOa9Ü^~—ˆôyž8jÙˆWÝ·¹ë]ÔÐðæ®Þ#ÝFE}ȶ¡¢‘Ò¨)3½ô:^»¬B«A°ì©×é_1¢œVƤ¾,+iËë¼kæé`ŠµPÂ8Mcÿ2Lí¢¬ÔÓ4í±è‰‘ËsâX.ÎœÉÙ¸%©9¥ÌLE:XOÜèž%É/CÐÇh×kU„£Ž®;9ƒ%Ó©ÅÕ¨ær7¸¡=¤*²*C»Ø˜È‚˜3‚ÀàAó¡C) dÑ¿âÝhrÒao0 d?«íÀoR  zÍV¡jÃ.TSì6aik +|µ¶2Ñ<ËÞÁÚ‘ýõwë<سm ìȲ€—ö¶]:ðÝo]¾±yì‰öŸ3θã¶g.=Sy|-ào®?Wÿõ—­yádýBýêÅ}û~:þvf×VŒ@å·õ)ª¡yQ á¶UIóŽ%€ÿ%íŽ|'kY¢ü( CbSnP±8SÕÔET/‚CÊv°n7Ç·$¾£îcñ£ÙsàœzU»‘Víñ=à€²'yL9 +NÁ3ÊùìÍìGƃìtÖ㧂 ý)„²–J®b *ßÌ7dh‚F‘g¤¥¦xŠy¯$E>*É&lV%AB ŒÃ8tdÒ§¸¸Møç:XÇrLJå ã„:(~ÆÚvü²ÅaÜg[YT³r¾Z4äÍJVŒxkPsµ{7A]5B,×5JE9ÈsÐËöÎI"˜hùð2Ûn° ’H0£ŒÈnéº$ùÿG œ›@ë,) N°­¯¿^/âjKK„ˆyýèýï<Bköýë1.ê|ûÎñ+ëà6@}ËÿRDןß1¡Õ·ïíuÃWÁÙvçï¢vMÿÑjC<1®2Ãþךè²PŒ5E¥mú2° :}• °Ð¼[žWŽXxë@h <àí6ÍKenU¬£®QϨw 3, ‹Ãùac½×5æóîaÆô³Ö³Öï)xŠžR¬+ÆJÈBì5.ÄÅt:[è°Ëj„ +Á +é±âc¥ÅžÅ™×JÏ*vez¥ù‚XâË=¡žpOduk¡¿Ø_ê/÷µy-.WšsñiÙ¯ÌO•ÿ·O9ê8šÿq6+u;ó®~«ò°xŠžÇSC?>ì\§&,ݦ§t¬%ÊdžD^®Çð™bøX‘G‡Ûp»½º;ãµjN2Ùe0…PªÅ"§N8L!Q@Ô€6d“Íûnúà=ˆûÎûîù,¾ 8vUt!A<ž7srÓHÚÌE%3÷:°P¹xÎ@‚gÍÝ ©v°„fÚ½ZÕ7!²™¬M!›iÏë3ÞƒèŽh@]­{q& ØÏ&QK×P*Ä«*`7Õf©µ¬.¥¹š*Í`QãÐà0ÐaCÖ] \îf=É"‰c¼éŒêG2Gçí¸çu"gd˜ñ,¨ûQïW‘!u®s +zže×éÖêê*@Km¢H +q»BL»Õ`Ú Cìájà“sPNØQË7 hÎ »Cö8ÓæIMÑ´R±\hÅœ\n³œSýÕñþõ/ëŸþâ•î7æÅw"á˜CU#½—7î8ÔVIÖO}éýŸlÜ:¯)"5 G¤øúÎ…îƒÏ½ºâØ=§­KȃZ³§¯u°Yxgô@Ïáß•Âbw~'òFˆ7zdVú@ì‹õ À¸!¶A óR—´L:j;ŸµáÄD“¬”pbö”!™!ËÐÒ¼erN Sf“·ËÏ Ç-§Î#½œ€)3B; Ï9 ¥9 Ï9MAQ0?zñ”À + Á*\‡)*8ý™éÂ,$üDO¿ÿF5¤³(4LV1á ˆ`]%ü€‹.¦ˆ6Xÿ˜í ´8I*C™®úÌ]ú„X©d]Ø÷Ø÷pjD®„“5\ù <„Ý:* g}“Ñ\œølÏMäÈóS·±=?9*>áÐXÛÒúÛ=J¥íŸ“sVÜêörûA'ÞU×ô}Û[hWsàÅk”bG&_4püˆ+d6{‚ÑbÊ^±/µoe¬ª¬&[åÖäyAòtÒ‘N¶'árcÔµ9–¼™ü»fïð"‰‚RBù°”ȈO`;Ñ +iͳÁ߬Y>÷ ËõƵßüáߌ—}lÔæÇýøÝçËÏwö½ø’³ïâ;'—;Û$Î ÍùÆ[x)ÍÚLä i‘ ]Ò—eÐ6­c”jRÙʪ´·?€&šZÞšØH[§uR4©š4 &F‘ªª™•(ä²çñÊ4Mš­{~öãG–üÜïóû~7,uå£øÚ®ÁÖxóú7GüåQ¨8TN×—§vÌÿõãk¿>öýÚm\œØ ë¥Öó§ûxçÚ]\ÁõWÕ˜"ìÊÞCtáâ9_Ná§q|ùàÐ9´M3Äß? ¤p™ @#aVD§"ÌÏÎ^¦m)®_4 ³¼3)ÏMÄ<7q¶³ÔåÅÓ‹îkj¦ë_âÝÔœF\ˆž]LœÒî0Ôdü7‰ßRÓôy†š¢NÒ“Ì”tR¦~Æɇ5jLÚÝEîõíרMòÆè€6B1Ôf¦Ænö=¨I”« +`ƒÄFê šRµ.²,­ÂÖ(nc Ö ™‚R³´-Ú¬F¦ÑG¹I, ©>9!·Ë„Ì4¡OTPÇ6ÀÃÂüåË—a·1 «¶ã(n£€‚%A X¸8mQR3 ÝÌÐ*Ë0Ð +E  h%pIŽÂ»h*m†34w7 +¢ŸZ²+–çdR¾iI®4 ’æ$J•¶HãÒ~‰”fðϦUímí¹7b¨x Ço +_Æb^ëσTC;`Œyy¨"Èý÷XƒecÇðׇçh`g³•|Π+:äÌÂÍiÁaÙ°mã•é°ã3ÂhöÊé s¿ë­A$šÛ“¨å`2ÒHhA®D½×¯—Úê9½Næ„øš>¼ý©rÔ€kö¬¤üÔz½I³Gîî#¼)’ÊPºÎ[—<{ïDhW¡¹Äâ€*‘²p™€è-Ü›æ@¹- Áìsƒ¢ƒçð$g)$/â<‹™0 +£NÅKÄ©çè&ÆÏú8Æç³h‡±°ã‡?%"ËuÁ¸Å$ŒîMxÑ̵͕\âNrt–γ¼á7ÂF¢Mi7rv7í$º¬Õô +f߯ ÒCÌ[ó +ù‡CÖ =FocžçG£Ês»ÉÝônf·oÿ²ÿåÄe"¹G}Ñ<@þˆ}#ùºùºuÈþ s”+üVìhâåˆñSóˆõ.;ÅMñS‰w•ÉäTóIó,s–ýÐ7“8gýÁºÃÞáï5ßQ׎š#Ö¨}ˆ#ËÊó-ÛSß)#Ì;Êë¸õ©~cIÖ”æ7-b€`7ñÉ`>h³’²ÙžlKÙŒÃs‹Yߌ‰½=ŠÅ%I>ÔØYEdð¬“QÚü_æ%>J}Ï° Ôïà’I–ã|Iè»ZZXŒ† „%l˜mŠ!úá[r-Y%çØeÅ™Y?«ð>ufa»±XFõó|Z«•D2ÙÂù|ˆII‰¤Ù̲iËŒX–iÓ ƒž$-ÞÚa1gŽ#b8ïó±,Ãõþ‚>nÃÿìŒ[²Q‰éñ‚›-X]–½ß>lÙOÛ[ìqïæª=g³öMöSîq^ù Á_ÀU,¾ry×?àŸõþ“=½3ø³g }9üùõ¸p=&Ìßòš”üü}‰ä L4Èûú‚xˆÅÿ +ãÃ##–±ðd„eˆÑû|Âú‹?rmЈaÈM•4¨R1‘¯x PSRRzÇE"òà!Îå²ó¡ÉEN3%f¢ô–H¾þC£þ§úŸ[ë/ü‘•½àËX©Üøk† +»¸p<nÃ…ÖrWïh–³@‚³]™ܽHl½÷sò™}Ѭ®ëV:³ožÁîܼ$nYNµu¾:ŸÂ?{ÅŠlÀ£:ˆaÔûê +ѱ¨(!’‰)éstÏRCGp Ù†Él¼Œgñ8K³X(=™î=\ØMq.Ûö`ßÓ(–à«n è˜BĪnÞ­U”o¦2]»±=¡—Òãù— +ÇÒG3'À aR›LOfN&Í‹™‹úÅì…òtå#á²rYýȹTýDüD½ÃÏU“¢)¨bZmÍEÓ|D°DKíÕºsV~5Ö$bUµjUg«äï `Wáó@þI.Ï×ü5à2ñŒÜW©®K,ÏÑb¤Z‹#Úqíx‘\$0M&ªn[([ÄC˜V$m…’ ,Ú +%[Î" =Ú„û´®¨š  ©¦ i±‚‚X¡F¡*|K®`@+=ŠCR¡âbL‰gÓè­æR¥\H B"`ål}ªQU³¨…0Ò@Ú)—aá‰xœ¦)v´*y ÀSxlãฮ‚9à3øWnp…ú„ºM%Ô%XúWi<=ƒÿnÚ­¾}¬[ð‚v'4z•¥Ñy$‘ú¿ðyx Â2„ +C}î ¡v,š6A[Úì#`¯ƒö˜¶-¿ÝÜRE½ÔÀ<æQ|&7VÆÛc°ŒÐäàP Ý°ßÉÄx§™~ÙÉZ2š¿4-;iCFÊxõŒìD`˜æ˜ ¢‡s./:VtÒªè”áKÎ\#ˆPIaP!ß}ÿ)¯Ì½¯Àà }€6¿{)4{Ðëå€4÷ÁÜRRâp¸±ª1ƒZbÈì}qÓü…ž¤¤pŒu£~½ v¯¯§:õ¾ñ~àÖo¿ðÎVü»½Öì?ÛÃþ`±\sZ»7=ŽQßpîi¨Ñ€çôp4Z +ž¬éÉIj;¡ë”Ú Ž€ƒ¿Ü +ïˆbR_]ÿ#°» +I¤€SÁè†1ÈýÂõU¤X?†˜zɜۄ ¬›ÂÁ·ÉÕý°?º½L¸…|4¬‚ZI#Å»#3õUƒ†ck>'ïcK°Gˆ5ªáª™ôŠ‹,½¤0Eåyü[ºgëuÌßùo®Ë?¶‰óŒãï{?mß99ÿÈå’œí»˜3IÎö%ØNr‰©M?›lbV[Á4µkl44ºv˜­µMUMSebÝÖJƒBøU6Í[ii»EbÒøc¬hʦ®§L‚ +‰¦ìyÏi+ÍÒÝûÃï{÷¾÷~ŸÏó<“ðS)™ ößœ#Er‡槜±)›wJ>‘$¤ÐÜ0%™Ba¦;Þ›ónx¨˜…È݉ï_Ï‡É Qdö*Xqzg„"a>gUÏÕÁý¶EqÖZ {ÝœÅ4œƒ­Õnšæéúl_¯iväŸÔÃ)Ê¿±ûµˆ]ÍýÒ}ÞCûMÿÓèéÔ3èˆp$Ã…üò”«æ·ºž]Ï­ÔVv®Êç…\ž&^Ckð:ÏaMfÝ@ahÍòÍÂNá û€ç€Ð¼IÞ/S‘ÜöUr¥P:›ìN¤/ƒÓ‘RvÛb—`‹dïíC <"EÜbI¤5§Ø-2bV‘æ»{LÙ®<¡Ð–²W¡”D$LvÜ›Íg)ØöT¢š ønéUy#$k œ((åÅt>ügpÜDê2Þ‰– ƒ¼±ÉFFĨÓ“7æ +ªj`C"ƒŒËTñ¨œ{Än¹ˆwæÖÝÇç›lç«<-ñxžÇã¥(|ÇIÔŠåJÅÜP¿]7!-ƒ†™]0]¶ôiÔu{a®(Õ˹z@dúl2Æ4­ŽÏÐ"S«ÃY‘ãêë…n43¬FÙÀÀ`ÿ Ź]ÅéZ'Åe[C¾P@Eþ@sÄ«âÎè0k«hЕÖp&-øUIÅMpâ²*1f’bs1ÏëéDo®à2$zå +"ÌÊùk7‰Êgú`§IÉ)Î7ÙZaÇGgDRÜÊ ÀM°[áR‰ÚÛˆ×{ ‹”(=Pº¡tÛèÿà²öi ¥Ì¤ > pÊÖ`£¯?µ¬Un•!Á”åÔ²þ'ýô˜vR˨Ñç–ô/ßþýp÷ÿ½ycΈQVÌ°Nò¡aÕïim–Ä–ìÔŽ¾!üãøØÈäàúûÚ~øíBßÈ÷&—ÚÑÙJ.K'&§»#š?oÿp÷fŽ¼„‹Ù¶xÉ^½,ÿþ½ûsô%öy$£%øƒ†å¿f‰KÄ–Ù ˆ±^üÏbè"‘ér*ÄÎE2ÞKÆ‹¢ÒŠÊ Q†/˜wð` ê0Ü‚¾RÙØmî¦Yw|’c§7Íšô-‹>; á0Ì!sÃ,3á&Š¨—,çî iCå?H—(Æ Ÿ0ü©Í.¾o–¼ŽD3{¤>ÉçÎñG6VðûµØwéÝÌ3ô³Ì«ôë.~”ÇC®àRïŠ@88¢´Šˆé‘¤ã/WÒa§YªÄV!È¢ÙOD!e‰(JÞqï”wÚËTávÚK#¯äÕ¼½P­y¯yy/Xÿ…lÆ[2þ°Î1$b<Ù +Ò1œ…b¥î¬´’óµÚwêŸá;Žitµi´ÀÇ4:¬áv¢¢6EU´"Œ®á6¡CE!®CCD|DøD÷æ¾} xÐxW “™É-A¾¡­tŒhp©‘òùˆèú5‰‡þô¹~väõñŸO6kŠÚÓ„‰Ôãö¶cÇÍdº¨O/ý÷Ï·T¢Ï½¼º]ŠN-t-üuYêÝßþmG"ÎU ¡µà=t|猋Á_øª‰&8‘h„s|'Ín¾¤O锟äÑ“âÏ‚ÔTÞ?OIŠžÅ¿ÇWù«mÿrÍ©k·±ÂQký›ýG"G´jt>Êû4ü›û·W€Bˆ¸tQÒ«:…tI×ôqìkZE?­×ôkú-}^÷ê;B6ã櫲áæC$ Ú¤Èúmؤ ÿ)"â1ñ‘- õ¢<*¡)4N£º…ܤƒB¯íjßßN·ããí¸ý"óþy#Nâ4®—Ës,Wè,\¢^DŽ°*å +õb¥¼P.ΕY™f®^/;èžó/š˜gcè‘ЮýRx\Þ +¶188ˆq¹Hdƒ*NV4ƒ$Åîîج$Ù˜ä!cí +©qò^!¹v?rŽaP” Ö-j+f割º9Å•«!0GlH É°dA#Xò=i"šæŒ†Þ»yG’šBfhê›ôßQˆ8jh…"~'A +äÝMÔD ˆ 8¸xœv"B. .¼aÜ„ø¢æˆbŒ/ðõu?ÌBš@Ódª:ÂùP)D…"Ée±¶¬Üvú±m;W-¿÷öÛxtïŽ9 ¼wóĨꋖßÃ7F¦ì±o½ûþ_@Ñë—ÿGuÕÇ´u]ñ{ß³ß{6æùùëÙŽ??c0l“¿¡Ô„BÚž J"M4V“U‘ªÐ¥YF[ ”©ëˆ¦mm7µ”R§u*¥¡SÔ­YYÑ6Em¦6©uÒ¦l¢iÍι&Ûúìûι_ïÝ{ß9ç÷;ü"q’:þ© +‹ŽI*àÅ +&Hd&d0eWR'4¡#D‹•¨è6› +4RãÓl"‘±g‹,ºÂ8r¨«l(WÞFo0´ÖÔ°À€ ,­ +ò8fÖÇ-+Ëÿã:×49ሱèÄWQ}£„/Ñ#hŠEžˆã@ωñ´ág†%¯akè‰Q4g§3€}¢ +»³ÇÝ‚Ul’å`à›_YE/^.ã[ÙZa¥hîº×>æ)zÇɸó*oô†ü@ÓüYU÷gƒ¸*sW>%"‚ÌÄb)Ö¼¿±9弦aÇcê˜{ÔSØ$RÞ$ˆ&Ébt=$ÌpÏ §,Ï*'ë~νæ9ïø#÷‰õšr‡ûŠwØÇÅqiv7czOüõ¶H'Ö>Ãñ&ôü$Ÿ1õpš‚CÜé Wâf3ÞyÇK¦—Ìé¼iÑükîÏÜ +˳SZ)WEn +%žÝÚ¢(ˆOœ$©ºp©{Ö>æ:îZp]w\.ß ¾à*ˆ)ªÅÇz¯=‹gü-Å/"~(©1_ÖªÒ õ¸:«òê§sZ¢IiNâ’Ò¬t]âI—`'Ò¢tC¤We—Ì ]ñMº=)ëò ÌY‘C2[¦2®Äg)wº6˜ ¤ýëSH[¦Š Ö€ç+4%4©xÉŸ¸ö„ ¸6¤tzbh‘´·“©"í. „rÜÔKðbŒüám5[²=‘­…"!âIJbU`ŒXòUk¾jßFÍ\­™«5«é²)ëR¼YoÈ–­…ÂBÁ7XúÈȈCp#ÚæÞ@0;"˜ô‚p \£‡Ÿ=™º®üäå/ÿöÖ™÷×OÑ_ï¡ÌþÜöŸxâГΙÏ)ýäK*þöÕŽáH»þ4ð¡BøcÆçIœ“6¼[K0¼Jè; Û§Š,PIn Ö©Îú/ºT¶3×g % O&À$³ÑnB¬ +Ö +õ-Ù‰´äÖ–•åÜÊš²V¥e¤Ó—•÷ñwßû°tXÙSõº!O’(sD* RÆ«Ù2>Ök˜7²v¨_cüZ–M÷!èS¼ÁëWV·¢;îz.4ïšòÝ|·¥×{’?i1ž1ЖÄñðœ0'.H ¦³ÊYÛb¤§ÆÇâœ_’ËéôfZˆ^Òƒ[ K.`‹hnT¨’ll°ÛI4+`àºïÍYHx+ÜÝ%Ú¯PE¯5P»Õ¦œ¶ZiõÍññ“U™ËUe¤•I]õ‡Ss2E“'åeyUdoÓ;¼À‹UU¬eÿ˜.Ëlw€ø¢x³(”0Z/íÈ­Cf ÁðÇ®Õ;Õ¨æŠjjÌOê?Ý@„H’Í –Öæ +§ÁÜ2iÛ–t¤€,dŒ©J˜ ósµ¹è+~m×þõObÞ¥¥áóSw¤î¶|0mÖý·ø=ë¯LonŠDbݹÑÞ3ïéN´Òáï:­ß¾ÚÙ æGv~ÝÃÿ 8ùvòá_Ô¿oW_ŒÎgx’P +ÜÑÆ£û9Ò(4 ûž rÛ +ÛŽD' ³†Yã ÷3žÙô³»NìžíûÁÀ î<óÃcÙ]ö|ú o¹°Z¸Q¸]ðm +¹Ú”´3,!å39QùL8ï#Þ.»M±Êµ–³Éäp8MÒ´FíZåÞge;à†ŸÃiÉ¡Ôkì5¹íuí’Ækzöüp|’-ª×âXûBøõð¥0Þ˜Ã$L ÃXÝ3—§yZó:4å›ÐuòƒNê¬PIwLHô¸Š +#¥…ù.ÚUá[u‹7onñÒAï´—ó^ä~Op®~²ºÌ‚èÝK÷65Yûßå“€w¸gI?ŸÔƒJ’N$g“ I>éA|MZÐ%’él3?=D‡poµà­ \)+N¦|VÆ! ÜÖ͵àHCZ0FcÌÝ›R³1:›Œ-ÇVc†˜Œ#¡ëN]”¿êv ±#¡B² ÎÁ™ 8Õ_cIäÙ÷Ð'õ´†TjU'Õ ØWîý]·á<Õ‚Ä@ekT+ÜEÝ1Ÿ£¹Ö$?Èsƒ<%¼Âs<¥·.Å$<•Ç×#MFåmÜ#ÿøháú$äuæ7f<ñø]t ˆåk¥u¦¬ÅK7•øÔ]V‰—0úǧ”›ÀÝ ¡UÖ6@aý „ˆœ²V¬·ÇÃ`@‰òGáëap¢tg +HY[´ë´”Ðñl@n!â`¡(áÏ<îXߎݑ´¿Îí¡Æ¨¶µµ­5ÕÊ D¢ÍZcôQmÈOýÛ~Ò—î‘Nš ‘ÆœŸ &úýd_|(D»==~úHý?}ô@]‡†û¶“=­ùí˧3:ׂ8¾Ë°ÃOnÙë'ûö†Ènw—Ÿ0QvÄqy÷oÌÛÿ{5‚ããEKE»)mº¹YM+öl3Äv–?Ð(PŒ€; DÀ¡-9”€ÌÓÍ~¬Óªt +’©Ì66‹n† ¾Ò©ú(þ¿õôÐèʹ㿊˼`ä­ñïµ_~¹ûÁ¦`8éŸüÝÎâÄw~ú¯÷NöÕØÒâX*ž¥®üáîÔàžƒ»Û¾þGK²ãðÅòkm©3ŸÓ‡~4òÃ˺Q0¹7™Bïäô[ÎhÖi ‰ÞhªÜ7uèô­Gë4 +¶·<Æ:zììÎÒ±…ÑÎ?Ý6¬%#»Ž÷¦TÕ Oj!8}Ù\†›ÝÀƺvW1ÛÌ ÍžÖ=›°âdù(7t–áyd4ROÑ2ˆ +Ñp*]Ÿ aƒÅÂ=fÏ'<øŒDåÞ?ËØ +ÊÝ2v$îû(·t+eö¼…,ì3@­Š%¥ž¤x­iÝsÓRo«k2ˆ`Ö--˜ êÞúÙUÛ¶u…y)‹²¨+“´d“”m‘²i˶"ÊñdKŠŠj»u”Äk,'æÆ+ ØŸ†e[½½ä-Ð0tÍCèÃ^–¦Iã`jFžæ-À¶Ð!è€.iÔ(º`èeçJiŠ Ò½W‡——¼ä÷sÎÇÊf=HI«ºsk\ÝÉø‘](wž© +Oå;‘’ÔÂÓyX—ÔÒ2Ù¯L–+“-Ë… +2Œb¥(œ¢pŠÂ)ØÍ© + >½Š`ðè:Ëf‹…¦k“i7Ç»˜tÁ. ŒÜÕˆWâ/WôF'äâ*äÍÊ 2´Y¬Û.·‹·‹ŒÄŠ«Åu yEf·#Im+ xZv$™žï—G’êü@j$9´èð܉´[É''f˜žh—Viš*›†®Ëì²Ìy]¾(ÿQn“Q¤³BÊq­ìBv5»žmÛÌÖ³âå,ÇÊngogÛ²«…7¡:TbB‰™åc¿_F&Â^¦µR‰ +C|ø$ñDo°]ìê +š½,Ôžõ¡=iÉ Ïl+ Ä+ƒ~Œ4D¯înzuÌšŠC)D¥!DÇ “­ TŒìèÚÏ*ÇÖ{bò˜×x®Ë—ÖÌØþoÍw•fÄ +ÅJtå:XgðüãW~xxékÞ¯¿=i½Ž“R±™_¾œËoô¾ìZŽ“‹Kƒ~õ(@Z> +MøúÅ}ÆÜ0‚>„sg”àMˆä”ÈNÅŒ@„´wøa¬ñ0 þðÎG–âÃàƒ«MºÝiÑí½kÄ6{  O­¥^î_¯JL¢L3ò븀Ô/Å |D}wE}ß/%d~ ”ÍÌì ÆZLˆÚĵ¸ÎÕ#GšƒJÅxf¡ Õ<‰ Ò%IÄ‹ +‚êÅp{½^<3v¢Ä‡¨ˆ°pg> $>ñ"×} +9ÏpÀ¯1áÞßß-ï®P=Ò¤‚Ywت³îÔKΞ´Gô°qÐ0ÇÇóÔø}vÌï©÷\3‘‚Äæû£#ÉN EÚ¬ØÉÔ 7y¬[) B?Å:åz˜…KèÁWM`ç)å‰À·9šQÇð2%c‰ÉùºÁ ¶j¬uã’±g+WÞ :àm?@€õ>ðÓTp^ØšÚ$m >õ¶Xo¦à#±§¸&X§[¸šžú‰¹¿Ò8tÈí ‡’‰ÞážÇÓ££SÔc{©@NL×Ø×_Ûg›Š³Ñ¡j w›*ŸIx›ÔÚÁ¦Q˨…Ä­nlAÙïqàe|‰ŸL»kŠ{Á\"¹wI½Ýn”{·¥ònKå]ä.ƒ†§RHešÕ6$ë‰Áaº&\¿­&铤õ“aÈätkðN˜G‰;Þ’%Ðÿ̃LÓg¶··1QyÆ2Û·óàðàý’“uC)Y%±SR|~M®Gêü‚òºv¡óuëbémY.™¥Äiõ´vÚúŽº¦­YÄðýäKÜ ÿ´ãVà–rO¼§<Ð>él/ke£líriVÙ¿¯´çÄQÕ´‡r¥"+ª¡.µÆ^Rí¶õ$;©|¨þ[ +¾¨½`Ý ß”ÿ)õp·jõYÖañyEŠhJ,šà}J²Ã’Njm'‚Ëꢶ“L¥¯/iÛš¤ÍMH*›©9=ÏèGœñ³`Y2Ӝå›ÞÄÉ›à¡H,Ä”‡XƒÏ‰…®[*~áJdJèF» dH:RWS&j±˜jZ‰¤é‚Ѥûe1œ”ÑgÒ“é\e"99#ä„HLUÛŠÛL´-pö1&Æ™-ØVŒµ¥EEVUC.‚¾Å>öªÿ}$"K€wÓ4äÈßäâg·ù.®óm.òœ®_4˜‘°J¬Æ$8¹œàªîewÛ½í\¶éÖ]Ñ]-–¶ØÞN½ù=È‘}vfceú(f¿ÇÔ‡8ülüê©IMã¡ò´‰[Æ”€æ¹×ÈtüXÝ9×Þ0ÁhòW}ÀÔm¿=‡ÇvB¡ex>g ¾\Ù`+ôÎg Õ¼!¨@›8d›Ö0äÍðëóxÃJID•‰”"Øi%ÅïÂ~Ç¡{K+‘L´ »ÌVÀ1ãœÈ¥'R]’ +Å(#E½˜ÄT“¡zè¾+žµÅã÷æy{jˆ黕û÷_ésÌ燆z†ÿ2Ý£ +wv +¢t؉®Q©ÁóÖÿ2ÓÉy¼O´mÑú[ã¯gS¹ÙqXWLÿ +ûfãörÑ`Ž£EôÔWÏ_œëÑPi‚?* 4]ìç-wÔÁÈã\b!FšÁH3iã˜$¡lÀà#ÊyË9Ú$ + þq +ÏáÁß8´Ã/$Ä@ "±8)D¼ (㘲V¾—ÁŒO½õLΗŽ‘ÇÅãØÆà4A1²)FdÁ[“è¦|Ëâ¾xÑÀ·,Îõî/¥meàˆïR×ëú¶¾§tôžòl{ï@i*Ïô+ÑoL.èÌÓôU}]¯ë—`bˆ$Cóýl$)¥âéh%–ŒÏÀ-…$Y`N”7—ád:Sù:g œ­òu^ç—øò+ÝϘŽŸ|•§¿°(xª¹Ì—¥…Œ³f~®Q.»‰ËH kL žÿoe©ØG.ð.Ìù¹¹ˆ4øµp2𧦋èËT+,{ø®t^­V«Žµô~ _(¾>Œx +¾ã± ÍÊì/̶fͶfaÄKá¬ÙÊ\…æU(J¥Ç«U[çU[þRm-ƒÏ=çVe\¦š¡Ó3tz¦/Ћ`  âiðÿÏ^Ï+ôâÂ*apjA¤ã"®QÐh +ÖÐlô@ZÃ#£ÜzrÓ_ÃÅ5àÿß½NµÅæñG€QXÇî6sã‡_ÀôÍž[¬y8'WcÇkkµWkÚ’4·ßÜ Mï bEƒ5 +ÀyeòµÇÛøi‚îÿ‡M¨C xÏP‹r¼ÌSäOÃò°z$ -Ö–BÆþ9¯Ùmd#*a2Ë*ô¯Bÿ*UØÇG~Û>UÀ"ÿ¤Á§t´P8UEÇ`µÅ ü‡ŽV«Ë§šÄÑž¶*Ü9ý` íy·\FQô^ŽY<õ®0ûä®p~9ø=¹{-a˜”^þg¹Çë͇n/Ò؈/c­”‰²úÿØ.ßØ&Î;Žßsw9ŸÏÎÝÙŽÏÎ9>Ÿÿç|Nâ?¹'¬¹4@ ÆÄ-%$´¡)ÊÆV˜H‚€Â(‰ªÒ®]·F{Q&­‚NÛÔ©"H´ +ÕÚEÝV­Òhª&u/ª½¨6¡’î +Ò†f¿ç±¨¶È¾{üÜŸ S€*{ÑœŽæôÖW‘eKýF·™Ø”ëGsý+ýt?žS*{‡;wF*Õ +½TY®ÐTE®Ð¨ë÷Ú”ÞÊÌäÔ*½zÖbpÍžÅ}ËÄaª"C¬ºSÕõÝæ][¿¹åïPäøoˆ¼*¤Ë_.µ‘·š‰+–pK­Éx*áŽv QŠ‰É‡.ÍO _ÀõbW£—¤IîrpdcÚñPûšo-¢ê¬·ëÛʼnÓþƒ?*ï˜*­Bß7j›}ƒÑ€À†ÒÖ¡4íØVËï,¹Z¢Ùñ>kwW{¾\*¨Äã¦%ÔfÒ·f¥TföéçÊå=§kÇ'tâY@Ž{ªèÕ¹nÛÚî2ke’Ù +=sy;œí¯ù÷õ…‰Ðà´ÿ\6ÚðÃnŠbþ$+Ò$³ÉrÄçÉVä%%Ž‘Ð?Åà ƒ'Hâ xÂ^IàËPܸΕ&ž`ð9¡ ¾²Søt… +“‹ÃäFar‹°Ä·0ˆq6šÙ¨[42¨CÎÀlðÕA'r$μíÄë-´~ +Q†w ÞI|$!% +5K–ôô@O¼uKƒ ùº5~ˆ2Þ`jl`c‚«5Üž<“äë÷—<éž0¥uWºÑs{à±°3-•êa*dXvÆâ-\ÿ9«jÍXsÖ²ÕÒÅ"›Œ—àÓŠÅ­X7,zÅB30±f1a^14i•‘lOÌ0´ÄXŒ74q,6´8ÂîŽçә᜖ßÒAÅ Eòĉx\’D! $ˬ+¢+ÿhmÐgv¸râ¸Kąض-/Ešu¸þQybóéÚɽ‘všé”4ŽNˆ¶O²ä46ä]r’ªwF¢ßkD¸à»š:uâãø<_šRÙ6¢¸6·LœLìK|²¬ævG4,,ÒŠ°¸ ‘7¶·z—üè—Ê{ÊÐ'Î߇?srÞh»s«²×½æ|Eú,äˆØ‹Œ€ì.DÐÇþOTÚŽ |s5^ÿè&øÿq"‹nàm•açØev…åØ[nÚî qF´‘rÐÜ%ß^0+ëÓØÓ•W:w—Wªí»ìÖv\Ž°;ß7ù徿F±ðŽÜ_Ã-pdò7”Ê(–jc +7囡‡>Bw˜j<ˆ¨…½I1E';RB’Ky¤6 +#UGŠFAŒ|­²ŽB lü®€Nµ·À¦@6þ m ì7AuhdÒö£q§„Sâ)ïsʱà±~z +‚„ÛÙ!{J!xûáK¿ì*á;MD  Ï6Ž‹ÇÒ)«·¯/ã8›k:MÝ8sèøõÅë§>ÿ§ÝÖ¡G/¼ðÌ™ïŒ2—ο|é{w—.þà3wN ?ýÇÚçoýîök3:îß©1ïƒÖÒT‰Ž5´f Ú˜ª!ƒw‡¥$}í”Î>Â`Ÿ®sp½Òôk„»:Q+1vL§éeEN}ØÀ‘ìGwRì›âiBaŠP˜B N ,8·u\Ò’{ê ][“?°öÅ6Ñz•*Ü¿û.bAÀš â¡ Àêˆn}„‘>½Þ8¼¨¯ì1k:œÕɉi +µ‹°^ +^þ¥‡ä:Q˜Ïux^3±ªÏƒX­%y‡ü¤üŠ‡})‹³Cƒåì“Ùg=Ïfò'='³/ò7ù;ÎÖÜàdqª÷p/k¢žé4¼>°Uí/Å|`®Òq*OkÔÚkv2l·Ü‡ðJh^S{P,ä#²@ÏKÂ%¾Ôiß*:h‡t½‹ÒKQDEåèJt-z#Úø¨Ü3›eBÅ…uhÖá±<’Ü "#ÊØÿEë=–£•Oö¦Ü©\ÒrtÔÓ +›¢³OGyW·NQÒPÎ/LSóÓ A&Yôc§ƒuè :L7 +LQéZêÀ d5ŒÔÔèëã¯>5ÿý¹·Çú: R¹¦·÷§}~9®“¨×)~w÷ì#=eOæzLiá/'Ÿ9üâ§ë?]ôK]µ›û‹Z2‰W~–90• Š‹µ·Ä&w}ëêŸçw½ ejKmŒ¥@ËaÊDŸ6´¬¦*S~ïürhˆH‰8“x°‰‰‰Ù¿–ÂàßïbI‹-XÁ<(Vv„9IóÆ“AΘòºb]7 pÞë +{pÍ\#Š­‹f-”Á +e°C¬AURµ ™A]ÄrëÁtµ‹¶»–º~ÞùV›SsÑ¡Ì&s\¶U;:žÙnNJUuJ«F÷ež6ÈÔÑ#™Óò¼º¨ÍGͳêÍ7¥7Ô7µ7¢?Éœ7¥üBýuÇ;æUåCXÁ_Í[æÌŒÞu4y´óuß9ß¹¶µ.ÇnŠñ¢¡9Ò1dh\: +JZ„‰«ÂO†ƒ'†BT$"bÙõP´Œè´„.!ñø)З©¼ì¯úéßú¯ûÿégü2žõdG ‰Íù…Êú=s·g\DX›×‡îa=zKÞLtú‰@J§:}°I*q¥Û ½®=l³‡ ¾M&µ€ ˆ6´V ZÃ$RÄ÷3uíAx}Ì¡`qì¿|—}lgÇïwç÷³}o¶s>¿Ü¹~9¿ÅN⦙K©¯[ß_H‘mƼ„¥C Êš¦À6R ĶŠ‰Z B$R„Ö.Z× ”- uY‹ØŠø£ ¡¨êP=FUöǪ¤üžÇv· +‰HwÏs÷œŸ{r÷½ß÷ó]Pî‹Ô/<·ã{‚Àïªc™õƒß5Ô&NÿâȧâÎÜþÒþh:-òUD߃Ã7/¾iȦVÊðúõ«^Y¬0H¾>”×yTVÎut•ÍÓéÐ{$“©©ê QeÝ“|õ.×ê]"ÕI5’ˆÄôQŸNV§‰—^"§†Â¿EÑ©Leç6™ÇLÎÌ:U/‡Åj‰$ÜæÛÿ¡R±ùû.‰vý=I¦Ëào¹¹Y7N :p¥´PJ4Á’5~D %vþIC(éœ'cºžÏ} “8?S®--Õï2dÄ:„ñM`‹µ„ïØœVFó “*Góâ3IÓ46eâæfÆÃ祀!‚Mm¸Á]½àá8Ɖ‰pÔ–%=yFJéºn@Ø2XÆ1!.— +»1–ûåãT\w3ÞäòáIª,±5ÙªKí,Weºwù3Hà­õÒI]žë†´ÑÁî#O +m_›Jî ÊÁÞ>ÅwÿÆÕÂÖ5aÝ—ÔtÓAîÌ[o=P4×m ä^ݱÛDxK…hž?ýé(8ÔË;Ëì;¨—~ÛÚŽ^Ì +ÕKÅ"tÆ‚JÞ?¨ä}ƒÑ\¦—œ7B·üÄHȸÐït™BÂ&ìð”ÚÁž.@Þ~"ãqˆ§ + +Æ´ Õdž©5ëud 2¶ØÔÑLkD"È}Ko/‰o·ô®:‚é²åCq¹dgóýÎö4ay—¾jÚÎÚÓyçæ8ˆ=ÎÆÓ2d…7-¨E*šËOSŒ)“Æ4+Çl¶Û&2T½N6±Ù¬×Ħ\Å\‘NÎ] YY.Y|µ˜å«j`Äû`fF<•²{œž¬'7V™¨4*¡2†õ,–Ë‹¾‹þfª™þKòJê¯Åk¶kÉk©÷Š¼\+Ö‹÷-ž€ì ®lhH#z¼÷DÉ'€Àz8·×õßXóǤ+Ê…r4 ç"Åi÷´gÆ8™<™âå‚/[ÜY®ŒVžÌ=Y|Æÿ«ä™ÊuîZÔ›sõÇ™6:”…y(Ì1 ¥yÐ,)¯Æà ‘¸¦k j>92^‘Á5²œJúx›`ÒƇ?0¥r¾ŸaÈCÕ¾«óÜV+*“˾)È—ï&þ•àó\Àâ'&„)æa6µpIw«8k˜9a6LÎ0ûLÖü +Ì/îê~{Z“·h8Z©?°îNê#Õ2råÜÀ.²AkÇѺHlZÛÈEvH¥Ìi)ðùøgý¥‚ÿ¨ØQñÆ­V}ÄÖ­V»O»m½T2ܾµLa„Öôh6§¢äpêR" +Žœ+ŠŸp<Ê8³ö(t ;É^x/÷mç‡â‡Òí¬­>“ ~ªx2< ³ì,7ËÿÔ7œÒ¦"SÑé5?NÎöz p˜X^Æ—“åÔ÷‹3©™¢½>B YÊáª;®‚婲¸E0BÌyªIaOµ„§ŠtsW½b\®ù +²C„œ‹Ti®¦ +æ”j²Ýx±yY©U¥=—ÜžKñ2ÞB® +™üæKð2¡Ê‰>¼Lð%ûð>>¼7U¢SøølFh¹’’'ë õô´ë¥¨¤T!T…P•IÑ@HŒäTv*‘yâ¡­Ÿ7ôÑ]\øÆç&‚=¾D"ú³G¶ìûâêßz{gž^·§"‰²—;³úÆɯìì½/›+mÿùÑé¸GƒmÏÿà³Õ-O­¯î;ü“Á¯b + Üù7»Áv‰ÀJ§†¥c–Œ5,f‘Å{Uâ^Þ v…vjd +r5<…8 + äYxÉoÞUBÛ…{¼Ä†BtüDßÇõ—(Nu;aÂsÒ›à"|,;@og¡ñÞ|ì4Ø]ÄæìÔí¸À÷éd¥Ôÿ°óÑy%”Xôcÿ+\^"™pår½¾(.‰Í: ]9¾ÖÈ+Œ°É[…Q–­Å¦¥éðkÁ×Bóáëaçl Žk0ìözG}ÿQí5¨š* +ªa² DNì묖ëcYpxÉ¢C—‚ïRÆz4y“áçá†U4ÐeþÉc%˜”(S +U(qe¥äà:ôÍ!ØyåJ%›Ø(™ÉÆæÒþü‡ŽôöälVÿ¼uå…‘¹ì#ã•ÑqöˉÐcÛ3gdï,s+Ü)&ÍöuT2-¢WËy#K»†è­Öb‹¬ü.©¡uˆ‘¨ E-ʈRDŒE1ƉÐ-0Ô©4RöØ“ƒ]"·9uâ‘9È ‰núWßïýæ·¶ì9\Œm‡M#µÂ×vUäN­¼3»-*%¿Þ¸äùLoˆ@ze¦±wÝnÖù™!6•P£-Ô¨Á^hkôe·›ÑdGàUÔ“„›ËýãEKX«uãF­ŒŽð_¶«-6Ž« ÏÙÛ\ö2gö63»öììenÚÝÇ»ëxV–vPssÛ(V!­R$*^Z[ +U¥X6Äβå +”¨¤}€6vbǵ ®P^Rò@¢4R¦´"F! +Q¥Æ†ÿÌØ4Ö>ç;çÌýœó}ÿ÷;°;{eıE†e+e¸.žÍ“õÍfb‚Ÿÿ éXÈ~«~C%÷¹Qÿâ?íûXçÞ +|Ï_V6ýUîÒ7å0hÜÅx§B¢Ð·r¬œ-TÙ +WÔtMReµÐe]®›v¥ŽÜ-<ËŒ³û¸ýÒ~y¼pŠùóSöç…7Šç+oSo1¿`ß”ß,¼Uü-s…]æ–¥ù½ÂZq½rKzÌ=–>/4ϳˆÅ¾bª}j~¦}f&È ‹™ŽÞõb©]¶mëÛ#ƒ²\*V±áôA½¡»úQñ¢xQº¨3qmom¯q„z¦Ç™ƒµÆaó°5OÏâYá‡Ú¼9oÍÚoà×ÉÉÚ^ÕVÍ÷íëÚuó#í#ó¦]¢¢:–‹ˆ¬F¬³:â3øa"ú}LzÁ:_ÀóÒ9ù\u^›×gmqŽ=+Îéá${½Š_"À XMMã +¬À¢ `µZVTÊj(Ï¥¾$+J HµÄ˜ÓiÏ“´šÊÐ K×,3kY&ìÍbØ,ðàNä\Ó²§Ukµ!IÎJ’léUY9àë°†î‰t©„xô0•oQãRIU©DTN’Jkè»”F1è—oz𲵚WŸð/qS]º¼N½dU¯"ÆËyEgBFdôùòŸ@õ~Ts€Þŕ׆E'TŒ'ÚÚ”Nå€á sNêÈÓgõé2;m8Ì{@sì§R&š5˜!“Ä~¸Ô¼@a(NXhÖB”…-Õò¬w­uë¦E[/6ÿãš6Õû“rask’žÉnÃPà°´Q+E +!;¡zø©Þ±Xc;A{3ȳ€ý +¤@˜]9`ž©ÿ?aøßšÆÌ3æ Æ$êƒRL‘¢_'Z¡ãl¢G“%À щAW| +²,Š®F ç÷.åé ¿@9bpD'ÙØ’>ª†I¢YÃ×~×–Œüº|HÉ27?È.*ÝÚþÐúËö?µí»ƒ£c 'e ÔØúúÕܘ˜ +kZXÄÕlnë!ú|DÍ(!MKžzò·ÐøÖJ84ÞJÏX¤¨ð_AaFÃwƒg„™üŒøú<>/\”Öt%å1/ð. ¥†ÅY¢Óåp÷({bd¢Ùq +5xï×FÑ(ùï9ȱõ¶ÄE(›|ƒbº¶ÝuwÍqz=ò%¾¢­5ù¦7uঘÏív‡‹'-°4-ëíN»ÕÑÒ yG@Bli>18-O(Hq´—«3ÕPu¡Šª²fÛn«ùвŒÖÌötu¢QZ“iºÖѲŽ–ÈÆP+‘mµ°ò›[†&ÇG]â‰6ÝáÐ@ V±É2@•íH5›Š2È%Àb^y9ò¶v¥–TÉDW¸ãÉïÊ–È2@¢±¼¡Z¾³Ø± +Ѓ%ª…Zk¡(—ê†/•o5ëû›6ñV½_ŸÜ„|&à^7Ú‚Õô+<Ö'FÊOlõRv}.54”v§é>Þè“9Þð':íö>Œ`¿‹Ï܇Íà±ÔØ\ +M_»Fàs``ô80pªß'¡z’šò­RqàçÆ!5Ya]QUÒ=h²˜#I*; ô’^÷$2 +‚^FLõ¢^:Þ£%¨FH«K¬ eòän–yWSyðï,ò.MˆÌ»ÃËI8ôG<>íê*)Œ ä:°Œ¾IXL –¡˜t1L€EôÒ.Ƽ+@ix97¨B>€4 …9·=/“sG˜œke] ŠÀä]Ö¿YÞµ<JÎ&ž,’§C!—_¾Ð–/ÿ¨ÿê£/ðeÈ÷/yQÜK„g׿Й|^Ì•;ÃdÔ0ˆ4ù}’–î%>§ˆÞ±ÊÕxþ+ϪèhdOmÏÑé¯r·'šrÆ;ûã}Íæö­ZQ?±þëgÿMwµÇ6uÝásî9N|ý¸çÆñõõ#‰}ýL|ý~‡$¾iH˜Jó(„Ž´x•G( Ï +‘µbmÇÔtšºFU§°!uD´ÐŽ)Á+ùƒv«„&4±i,š”²NZ64e¨›ˆ³so̤ákŸóóõµ}ç÷ï÷}϶Qbªí)Þ;<ü¢S¨§´d÷øY¹t4‰ü~+'ŠÅ™™jì!Æï×Yë/=ÜÓL÷Š©Ü(3¥o…™¨:•Ã ÁP=u vÕˆZUbªÑÂ5d´QÔ¦JÌ„Âc…EJ’Ú 2ò²ÃÍSk ð|‡ÐŒ%èWR:Ý/ +FÌâGØŠFFhâD³ú/¸_u ³©ŠßB I@Æ@®0퀘iW"Æ&iZ±~3L˜óˆ™q± ö‰H4ÅŒYÀ@Æa²ÜBzö÷,ÌõòÅ Å9ž´,hÕ†G÷¨Þ&}Qïöêñ;äç©ÌýWeÒ¨©IÓxŸ[º¥°”åQ‚X¬™DQßùmyRZúã´-­jxgÚšÇ#5|sÚ’ÇvA +¿˜hH´ð#ò8iRF„HÊBÉ«¢Æ×, PJ©„‡6Þa†Ê··¶Öºpc‹ïÀÞ]ëDÞå¿øQØáK=]<¼í‹xvM“¿¿Î¼D°W©7 q"4¡iAYr¨–ey,`h2g„ +Mão³dX*^L¼ OC&ÈÈCD°+A[ºiÂÜeßô'U9Ï«ËZ¤9>¿ÐJÅñB+¬QíÝ i +ì¡ Ý Õ¾œyL1ÃQüýí±—î¾ÓÑ=dT½¶9†ºÖí`μüC÷óÊs[_ç~0DA º–þ¬»¥Û +pƒW‡,‡Äñ©cYÝÚÔ`ãÆÈàª];¢»VF#É“qcŸ>QBŠ)¹%¹/y"‰’ùt{ =§X=^IJwx2açóž|"ó%4 ð|7@±n’v§ãéB§µ“ön=›˜Hæ<9ï T‚QÅ…åH΋ç +9œ»gªë>?Ä×ñ}Œq ù³µ»ß4dbLcO +<¯ùŠùÅ"µ ˯9Õ:¨"FZ)‘·jÚ£ŸK&:*-Ðm pA&дQ‘Ztjˆu¸aÝ(Y9ªiTkæGa=¢ƒ`´—Ž5TõñZ€"La‹`e°Ïëg²‹_ôbF°Zhü–l†ñ‹µ¾ VUÿS>jiÅ@6Ò)Û2?!ÃE8píjù|©T¾põøù™ò§çÏÁÌÙ³0{î|ùÓ+ÖÿÇ{×554N¿uã`àÔÂÍò¿¡ý±¶^‡‰·u»/•Ï].•Ï_¹×—.×ÚΖ?™:[þÍûïÓ_™‚Íg¯—¿8|pM^~£ïö'?­ý5D—¯CbrêkêTÜ[š«v‚‘"ØÞVF<%À¥Ê¤XìJCƒÅRet)’Ò™•”BvRš•"õIã4Àû¤kÒ’„¤;„zÍά ^ Ì + ú„qà}Â5aI@¤°c-Y7m'L;Ë2ãêÀ~éÿünÅÊ‹ÚøhªQÕðÍ«ÜHÙk…Û¥'Äpc\éˆÅ::˜ßÓae |¬½U>_1À€N´m {À¢àeJÄ€Ãv1àr7zõ5ÆFÅ;-Ö(Æi "€â´Y’€;0@T‡âj9MqqƒpnnŒCœzŽÅ-¬ÐêˆÅKpô¢¤Uí=ª^+m±ÐCw3}® ñe™—Ý¢ +ôŠìÿÿ4ìÞôŒ5›#–¦¶§›;÷œd^Ø®&cÄÖÔֳ꩗¾«ÛÝÛ¶ÚgæH[$Ñ5ºqÛÁ`Ëæö:ŽãWËɯظë°´ôh 3à3€æ<’KRbb¨ È°¨²Ý¯ÐvøOºVNЧԳª'tMÕá +ÜB·0F¡¬æE‰äÊâªI=(.ÌÓÆ7?GŸjV+kº_Íïñô*eÔ=áü7ÿË~Ù…D•†qü7Î8G'³1MídÓ4:VÓÇjÙ´®£ÙäšãºSš%åÖæG¬fFDµËJûA´R‹lûÑFiAëÁ^It±wÝu!]DtA”=Óœ]r‰ŒbÙ÷yùóßyÞžÿ9&RjKJ±9Îÿšâ@ÛAÓ–ú56[rŠ3cŠX]TÑÞ÷ìrÁ²¦b¤¦ù½¾`W}ëWÁ¼ÈòÙöMûÐë«ì³1b×DL>yô=òx„ü¿Œ¡}&ÜÄí½¶?!©Qäda¤ ƒ½[!-SÃ>NÉ˨…LϺ 3ö@vrZ Wƒ™KAŒ1[öw˼¹A¾¼†Þ4(…ù²ŸOδh:,n‡¢›PüJ$×ÿ¬8¥§¡¬ʯAåʇ¬ÊÕg v/„w¾†á +²Nã}hª†Í¹°å´| mYÐYŸ£GjÑ[ {°7|q¾’ùmp¸¾•yGålÇäŒÇÝ0p~΃_¥¿Ý˜Èéÿg~|¿œýéõ ŠÿƒWc µ¿ç( +…B¡P( +…B¡P( +…Bñÿ8LD#sT™²+“†ÙoM Ñ–”Œ=Õ1%mjú4gÆô̬Ù9¹3õYy¸æ¸çzò ¼…óæ/ð-\´xÉEÅK—•,MP±²rUpuÕÇÕÔ„j?©û4¼v]}ÃúÆ +›äçæXÖù—w¼øûÈ«òõäg}«°ð‹\óÐEÅá"ŸRØD+{ØGÇègHÏÔ³õÝ=>.¹:n¼”IN3mFÎ÷/çŒßšØ$ß…>~sìäØ i}†“…yÒ c-³øŠ¡-¢Ó +må‰:nI”~CÇag«¡Í2Þeh‹èC[EË+B¡€7ÜÚ鮉ôÖíèhî|Ó1‚”SAHZ@*–šv¡›¹öRÇé7Ó)*Â6zh—^×Ïz×yR1ëHv‘ r°€uU\7K_Šc:J<šET´÷÷–¸4)þ?ño›J%øHž›!-ºÌ5Ínî6Ü-¡L›RýµLíEö©[9£Ñû¥ëxžì|úÍ.ݨ/V~.ÀõÌçŠ +endstream +endobj +515 0 obj +<< /N 3 /Alternate /DeviceRGB /Length 2575 /Filter /FlateDecode >> +stream +H‰œ–yTSwÇoÉž•°Ãc +[€°5la‘QIBHØADED„ª•2ÖmtFOE.®c­Ö}êÒõ0êè8´׎8GNg¦Óïï÷9÷wïïÝß½÷ó '¥ªµÕ0 Ö ÏJŒÅb¤  + 2y­.-;!à’ÆK°ZÜ ü‹ž^i½"LÊÀ0ðÿ‰-×é +@8(”µrœ;q®ª7èLöœy¥•&†Qëñq¶4±jž½ç|æ9ÚÄ +V³)gB£0ñiœWו8#©8wÕ©•õ8_Å٥ʨQãüÜ«QÊj@é&»A)/ÇÙgº>'K‚óÈtÕ;\ú” +Ó¥$ÕºF½ZUnÀÜå˜(4TŒ%)ë«”ƒ0C&¯”阤Z£“i˜¿óœ8¦Úbx‘ƒE¡ÁÁBÑ;…ú¯›¿P¦ÞÎӓ̹žAü om?çW= +€x¯Íú·¶Ò-Œ¯Àòæ[›Ëû0ñ¾¾øÎ}ø¦y)7ta¾¾õõõ>j¥ÜÇTÐ7úŸ¿@ï¼ÏÇtÜ›ò`qÊ2™±Ê€™ê&¯®ª6ê±ZL®Ä„?â_øóyxg)Ë”z¥ÈçL­UáíÖ*ÔuµSkÿSeØO4?׸¸c¯¯Ø°.òò· åÒR´ +ßÞô-•’2ð5ßáÞüÜÏ ú÷Sá>Ó£V­š‹“då`r£¾n~ÏôY &à+`œ;ÂA4ˆÉ 䀰ÈA9Ð=¨- t°lÃ`;»Á~pŒƒÁ ðGp| ®[`Lƒ‡`<¯ "A ˆ YA+äùCb(Š‡R¡,¨*T2B-Ð +¨ꇆ¡Ðnè÷ÐQètº}MA ï —0Óal»Á¾°ŽSàx ¬‚kà&¸^Á£ð>ø0|>_ƒ'á‡ð,ÂG!"F$H:Rˆ”!z¤éF‘Qd?r 9‹\A&‘GÈ ”ˆrQ ¢áhš‹ÊÑ´íE‡Ñ]èaô4zBgÐ×Á–àE#H ‹*B=¡‹0HØIøˆp†p0MxJ$ùD1„˜D, V›‰½Ä­ÄÄãÄKÄ»ÄY‰dEò"EÒI2’ÔEÚBÚGúŒt™4MzN¦‘Èþär!YKî ’÷?%_&ß#¿¢°(®”0J:EAi¤ôQÆ(Ç()Ó”WT6U@ æP+¨íÔ!ê~êêmêæD ¥eÒÔ´å´!ÚïhŸÓ¦h/èº']B/¢éëèÒÓ¿¢?a0nŒhF!ÃÀXÇØÍ8ÅøšñÜŒkæc&5S˜µ™˜6»lö˜Iaº2c˜K™MÌAæ!æEæ#…åÆ’°d¬VÖë(ëk–Íe‹Øél +»—½‡}Ž}ŸCâ¸qâ9 +N'çÎ)Î].ÂuæJ¸rî +î÷ wšGä xR^¯‡÷[ÞoÆœchžgÞ`>bþ‰ù$á»ñ¥ü*~ÿ ÿ:ÿ¥…EŒ…ÒbÅ~‹ËÏ,m,£-•–Ý–,¯Y¾´Â¬â­*­6X[ݱF­=­3­ë­·YŸ±~dó ·‘ÛtÛ´¹i ÛzÚfÙ6Û~`{ÁvÖÎÞ.ÑNg·Åî”Ý#{¾}´}…ý€ý§ö¸‘j‡‡ÏþŠ™c1X6„Æfm“Ž;'_9 œr:œ8Ýq¦:‹ËœœO:ϸ8¸¤¹´¸ìu¹éJq»–»nv=ëúÌMà–ï¶ÊmÜí¾ÀR 4 ö +n»3Ü£ÜkÜGݯz=Ä•[=¾ô„=ƒ<Ë=GTB(É/ÙSòƒ,]6*›-•–¾W:#—È7Ë*¢ŠÊe¿ò^YDYÙ}U„j£êAyTù`ù#µD=¬þ¶"©b{ųÊôÊ+¬Ê¯: !kJ4Gµm¥ötµ}uCõ%—®K7YV³©fFŸ¢ßY Õ.©=bàá?SŒîÆ•Æ©ºÈº‘ºçõyõ‡Ø +Ú† žkï5%4ý¦m–7Ÿlqlio™Z³lG+ÔZÚz²Í¹­³mzyâò]íÔöÊö?uøuôw|¿"űN»ÎåwW&®ÜÛe֥ﺱ*|ÕöÕèjõê‰5k¶¬yÝ­èþ¢Ç¯g°ç‡^yïkEk‡Öþ¸®lÝD_p߶õÄõÚõ×7DmØÕÏîoê¿»1mãál {àûMśΠ+nßLÝlÜ<9”úO¤[þ˜¸™$™™üšhšÕ›B›¯œœ‰œ÷dÒž@ž®ŸŸ‹Ÿú i Ø¡G¡¶¢&¢–££v£æ¤V¤Ç¥8¥©¦¦‹¦ý§n§à¨R¨Ä©7©©ªª««u«é¬\¬Ð­D­¸®-®¡¯¯‹°°u°ê±`±Ö²K²Â³8³®´%´œµµŠ¶¶y¶ð·h·à¸Y¸Ñ¹J¹Âº;ºµ».»§¼!¼›½½¾ +¾„¾ÿ¿z¿õÀpÀìÁgÁãÂ_ÂÛÃXÃÔÄQÄÎÅKÅÈÆFÆÃÇAÇ¿È=ȼÉ:ɹÊ8Ê·Ë6˶Ì5̵Í5͵Î6ζÏ7ϸÐ9кÑ<ѾÒ?ÒÁÓDÓÆÔIÔËÕNÕÑÖUÖØ×\×àØdØèÙlÙñÚvÚûÛ€ÜÜŠÝÝ–ÞÞ¢ß)߯à6à½áDáÌâSâÛãcãëäsäüå„æ +æ–çç©è2è¼éFéÐê[êåëpëûì†ííœî(î´ï@ïÌðXðåñrñÿòŒóó§ô4ôÂõPõÞömöû÷Šøø¨ù8ùÇúWúçûwüü˜ý)ýºþKþÜÿmÿÿ ÷„óû +endstream +endobj +516 0 obj +<< /Filter /FlateDecode /Length 28124 /Length1 48020 >> +stream +H‰\U tMWþþ½Ï¹7‚i$âuã +AÒ ñjn"â3ZK" ñHYÄc´‚ª×4šRÌPa:M×äª÷[1ÕiC¨a,±ˆGM””™U:£rÏ|¹º¦íœµþ³Ï¿ÿýý¯oC4ÅÐHùë¨èÉCÇîŽ4áꈬ¼Ì‚¢ú‚BàÀ6@ʲæ:2ŠkïòßuÀÇgJÁÔ¼ORò¢©sͼ:uæ‚)Õ&}“æædfWÍŽI\C¯\.-ž~GùÝ17¯°hü?}[ð» Ÿ9++SåÏJ¶ò̈¼Ì¢¿c²8üöŽü̼œqi+©Ê&ž•³æw÷«áÁœœ‚%£ª€.>@s·ù[´7‡yß6zZÖ-¾ÄjÕzR­çæ 8=Ó­@o_¼?>aXŽŽ¨E)N`"¾TIò2ÆÂ`´‚’>*þ‚)¾‡C‘†@¤âkiŠ +ôÀ7’Œ¥†‘Ø‚–HÄ;Ø&ƒ­ûXŠK2 +åÜý¡$ 3†IŠu£fà@?¼‡÷ÅíùÇWœÖ +z˜‹•8Œ+°Žæ6zIïoÀ\”toµÁäc 6b;Žá®¼%' +ÓÊ@,&cŽØ%@Âu±õ!âÌ«öYg¬ ð§ývz}¨ºÉÖ·H@­!V.3€ž”||€ý¸.Á«Á1s´ßÂΩÀ'8‚ÏQÉÜW¡š Õ€·Aî³ß¡Q¬§)>”Ø»³2ƒØ-’ÃÚ¾ER,«d#e³ü^¶3¿å+¹$7åŽÓÁùI$ŠÞa3Õ8} ƒ$äÀpòö@æ •œK/ÅXËyÚÉ;ä<‹¿LÀq\åäqγx¾ý Å«¬ú\ì";.“=\ÉF;težž‰ŸÄ©Bž×À³¥äÙ“Ät÷È–W„ô«—…ïf™'ôBšìæ¼}xSºt%¾FGÞ®9£eÜ—ÁÞðC[ô1ïˆB„g„§¦écÒ’·¡»j4oö2›(š1ŽzÊHÄzÓ[9¹,ÍÜ™8:!þ•ýûõí×;6¦gtîQ/GFtëÚ%¼s§°ŽÎ¡ŽöíÚ¶iÒ*8¨eàK-šû7ókÚ¤±o#»Í4´D$9“3îNn£“3%%²áۙɅ̟-d¸\Jþ¥Û‘á5süÒ2–SþÏ2á…eÂÿ,ÅßÑý##IN‡ûœËé8(é£ÆR_çrŽs¸ë¼úp¯^âÕ›R +åGRp®Ëá– G’;y^îê¤ Ýínì;È9(Ç72»}SmLÍä,Ø-A¯ˆWQAI}w+ø4%(wˆÓ•änåt5 pë°¤ÌlwÚ¨±I®Ö¡¡ã"ÿËz•ÆFu]áó–y3¦›˜Å6á +ƒmìa‰·Pm¼°y̸´/PÀ¢ZÐRê´ ÌÃ4 +QÒ"‚¢¦‹ió%Qä)i þ¨ŒÒ¤ÁQ“TIª¤ªRů߹óÞ06V¡U->÷žs—sÏýî9Ï!SŠtÚM +TšéA1„"bS‹˜n±¾OCGõаÑ7ä£öxÐÛèlÛ5•¶ï‘ľUæÌï¾7ëv‹gF¢‡S­9ŠQ=k»Î]Ã8¬›§£©V?ÿÅ°æÊy5q£[÷!ˆ +Í:v“Å¢¦t[ê|>Uâ|[Õ¬‰ïÐÍ´@e`›±#Ž«É6LjÚçÌΟ³Þ¡ìjÝh‰üæÊœ@¬­*wà>2šö½8;¬Ïo) +ø2˜–n7¼SS[’6ÑùÕДŒ¬Äê@SïÐáI4€3•òŸ-¥dt”b~bf™¸‘ífZ$nøÊYÏóMWž/ Ÿ¸yc¼¦ÍÖhy¾Ïˆ›Ì“$Õ`wÚf0h1EÜÜ)|ü²è//í’Ÿ +ìòém@lÛbå‹~¿Ÿ/øèP˜ÚÑ1{£‰¾Ní9ƒ^Œ™rœ-ÃŽ%k#[zKrz<&¿DüJ–éÉOþ¦ûfL¯ÞVnJ3þƒyKÂÞÐhhlêÕFÜŽmC˸^Â^š´Ù-sz$ªäÈvKÎQ„¤Üœ̨×Tóð« Rw¹=`¥ÐHzé‹×&þƦøý÷8iÈú˜g q{ší¦Y߯×çž×Pà°š/7´´Æ”q¶d è è5FÜh²zÚº/`œÃçJ±«:îÜèuþhŽYÓÃ!¶Iå`«L•©·q ,õ6·FÏùðoWoKtP–äH¼260¶è9(,´rRË={øÇ L”=”s.LÔ#¬ªPˆ~ÇDBçqtu É OèðSÌwïöUÓÃ>úב±|8#ѸÍÐʤ\nÉú鬺›L•h°ÿ(þHë§&¹Œúd–ý4úo©ÓŒ¯D d+ì2ôõÀa` à–ÕÀ[Ö+yàÖ(äu„$zÔ½›6»^'Ÿk!´ Õwi¡VFÍ@P™#ÆÎ@{!lùîcTˆqsÐ߀qËX¢Ÿ¯vÓØëÑ^Ìkâ™Ó€LèýØÿ +û QAOªdÝD;koÆÜ rŒÖA®‡\}%ôkѯÁœ"¹ßzí*´ƒˆÍÖ‹³wS°sàg£X¯›VÂ6ûf@.2`ÏR +èyé= ùµ¼âÜ#νéö™ W Ÿ&ûÈþ¥‚}’ˬO€·wmßêîû• +¢e)U@ö^_¾Œ37‘{¹ësª`xÈúçz˜¡vR:ú×ág£ë%ZÎ}`š§ž„OŸÒ:Ø‚ÚS´úeòàØVZ(ÿœJµ'ßyŠÌq]¥föAœÜJ‘ùÌ3–Ê0 YD ˜³Ì7GŠ7™ð?Ÿß„#“þà}òa©)ß*s1)ñN9I9“ +±æZí9øþmzX- z¥‹V©­T§˜È?c¼ŸuS¡äßSÐ=,8ƒ3Ò3$ßó ÷ˆ´Ã5L/#–yêez2 ŽÈóÔÉå:c]w‘$à´SåDHà KFªí¿Õÿ/¯¸ÎÐV´?pàíŒÐqœ•ÜJ‹Ý‘Ð=@‘'(ðtICîxODŸ¨a¼õ0•¨ÃÈ YFœò ß¨ýœë¢¬ý…¦×Ð~¹¯D!¼Oì%_A¾x}ȵ)<ǹI¸$¤Ã×IdÐæ’Ìgäµ7mù–-oA†ÀÉ® +œŸ¹>pŽj“|uxY@!ȇŸyjósÍÏ;yy[.…ŒØµ…sw&¿Sìå¶ßìfÎœã8Gržã猟(“óûéiœá +‘‡/cnâ]Ï‚@ö}vA¶Š|Øiíq×X{ÔbkVfõjBn³öÊû­ÉšªÒv.ó;µTÔÑ ”æÔQWuÛ9ëî2WjS¢ŽŠú©­€ÛD} ¡?ƒß¡xƒG)S޸儶*IQÖ¡nB¯#'³m7ÍWnQ®z¹îIë†ò­u³–¶(q*ã¹Ê ¥»#¿ëϨeû­Åz\¯ YÇþk[iç×NQ{wØù8ÄwïÑÈëQ©@Œ¹ŒÜ4J™|ƒzš'âÀs#âµÜ×i®Z&â 3Äœ—ãÁ1‹Dm®kŽŠ|6M¬=Š=ÿ@›Ú\ªw¿…œÉ{í¤xšÌyѺf×ì:ÔÓ:å9|y‰ÿ/“W)¡ÔÊ«ÕGónŒ=iW°DÞõþr8â:BMâ{‚m?ÄwÏ«´š¡öÓ|m%òcrÿÊÕæ F-¼^“Øú:ñ}ÂuŠ¿ø½¬ ¯Ç|¼ á×^»PĶ]噂ÚÒNér¿${¹âÛ¯÷Þ/ñwÔã)ø±­ËMHÉ/_õ•m·ä‹òYù¢Õ%ê} …”ß >~„ÿ +ø0›VÈT*Tª¦áÛì!´¿G¥Ê¯ãˆÁ~kT‰^ýπØ÷'Ä3¶O0æWàÁA̽í·)¢¼L¥® Ÿ®¾9 +üó¾D}Ê Ô§ùèÜaë3öýÁëñ<`‘#ÙW“úüKòNêoÕm?“>Nâ¯ÁëŠy<¦Ä%²þä%äX£|ŒÎ§å71w˜HOYç%Ü“ô>pÒÆo©VÈ wx@ê6ªz€NAC~Œ' À-u9bqŒ^…|Qÿ + ù"EYÂþ<ð;àªcKï5™>ê߬ó©}×*cÈ!ë<ãŽñ§h™úäÚÅÖy†²ùЦáÝz÷ÿ +ý&Ì›Ðw- §ÕGèþ»ùs7H¤Å"† „ïåŒ÷ +þFãúüÿZï^ûý>ð +ÿÓ´Ppè¾ÉÝÖ%é}MzÇú\9I#ѧlÏS¨Kö=Aß+ôî\yPi"e¢í‡Nâ½Þ­u·§Âá÷ +3Ô«Lì{zèßÜWkl›W>ljã|¶“´i—^>Ïv»ÔMjïKÖ” ’ϽŒ2p›V‘XÙÔäLj´ +šP$ÐJ7»CªÕÒ#)ZÃ:hƒ¦çÔmêÐ +ª)Y…”tMé…­cKBY6zKxαeNCè`õ¼Ïûžóž‹Ï9ßyßcJØå«œnOŽ;šH +Öén[ærnº;$$ÁÚaÿõELÚMˆMéó)µõK`­I°sx|3ê6+ÿz‰)ëz¯\Wž’mU{µ?Ùsž»?hKl¿C|¹@|ÐËsyò|gî‹œùMéó>iË»äbŽÏ‡ßćß¾•™úü¾?/'>ÑqpÎ)ÁY<€ÊQ·!WÝŠïâÒ@È!׎r=ý:¸Ü…Qþ5BÙÁkÀóWQ÷âRöñV[9y*“W¢n|#üž’é~ÆË W¡ÿ¿ûï£ü"Ð +xéwOÛQÿFºíø7Á߃}ü +à$Ê6ÃçQèÏ÷Cþ < +„Òý]ƒßµ#2¹É;ôË3¼?þSN¿7H0˹oˆ[âm³sî›#»ÿ³qö-qVëy7½5åí3Óç#Œó㘠+äÒ~ä”>™GË\VæÏ2̲z·á>ÈŒ_:…]2•¹³Ì_Áê}—w‰4bWMÎ+G¦Ü­¬’|(Ë÷YŸS8k£ô qÓƒcé”$dlSq À|O‚ݸsÓ'ÆÀ¯À^„XæÈÆ´ìÝ:íŽÓ>QûVcäLj©3x0ÙòÖ rëCø$rcñ­b¶Øý±cù 1zjœþoílœÏb¶¼47˜Íž­¿[µsóŽ)öa‰S¯ìܼ$kçbZýô³—ÎgÊñ½e‘óÝÝ*𮶵MœÎ~¯Ù9ä|Ç…“ß[ƶÇÈZ`]–qTàYìμ»üÐÏ&v€·\'FÁ/‰1vâ7òÎo‘uàÝôäÒˆ²°¿;w±ô½7ƒ-³çÜs+ós•bÍÔÜØ‹÷Hø4P¾:¹×x{bìWy#r@¼sù…‰1ô56S.8ã·]¾÷`»a»‘¦‰”[ëÖf¼|…bQ±Ì8*+DùB£×ÆY¹ƒè( ¢lª!bõꌲrUZ±‚UÆP¤×ûÀlÄF±èª•U±Â=›òq\ÔT–òë–gFã7,w©aF<ü +bÄÂH7?LR#m|ŒÄ÷C¢êN9?dº üGˆˆœtARe›€ô±JËd÷o +w±j7$Â5iÅòÌ7#sø˜Ïø)â':?^ ~ ¼|‚¿L45Ïg-·Çˆc¼ýpßÏ!ËPýS¾'@çùcdr;-\éqN‹Š )äøNåòuþ5Rþ +Xº·‡?‹™šüËá”ó{Gxæ½ü˜Ì×xÍÓݽ| òŸ$-‡f$"E<‰¿™Ä²è˜#%û”4ù)Ž0ÞÏyœ”¡®ï"sÁÏñÇÅ\=ÕÃ?PnïË^0Þ3¢ Z’¥¹ŒTÄ(O±â—±â—ÕhïYKW$²”ï&a€aQÏC;ÍÇ¡ +c›†±5ÃØšaÌb™-áï¢æ]ø„øÒÎ_' `tº|D`*%PaåòX OÖŽ¢ô1Ëá’3Û)JJ•ÛN«Èe4ôò$fèÓäƒÖ¼ùF[Bý•„5lð'á(ÂÒ}+½h¸CîA/óÇÕJìR+Ðý[˜”¸ù·Uã «¨Øˆa÷›`¶AîúÀ·&ü‡&Ò p¸7Z.·áîá_R?'\Õz/_¿¾^­Öz1קæüY ʦþy’|ƒx@Ç7 4–µ¬UuF¸‡oPxƒÐýébQz›RîŽôáYcËáÖ*Çå¢À¥Š—g¾;´æÌ3tÆ:õ—ª! ¯ÅÕbýkñ1T«7,O ŽøÜPÓ6H Ðt6l¤wi³ªÄÍWâ?­Ä3b%þv;ä(ÀP~'iödz@ž*mÊá20ô‚í4 t)`È'}¼ +ãTÁ; º!À† +©Ä<*QW½äF!:‰±³ŽÆHŒÆXŒÇl±¼˜'V\`Þµ¤Ò0’b…µ-ŽvGÜÁÃÓÑèà‡×Á’)‘_W +2KìuÕ¯Eߎ^ò’Ú„=‘Ïú"E´˜ #'}ÔËËc~—÷ÕÕÔó¾èPt$ÊûÎ 9Ãûª†ªFª¸]PgÔ6Ó6£{¨M§!Ú@7R[3oã1¾‡Ûtâ +8 ¶g»3îäa§éltrÓëd g—³Û™rö;óºí){¿ý¬}Ôž×ho±·Ûãö„½Ën×óCù +ù¦Ý6YÃ^Ç¢vAvŒÄ!Jó¨šd¿²ÊnlW¶ Ù¨4?dXj€}½¿8d~ÒöC†¥ + <²Ó(k‡LŒ6úÂ3À<o€‘ +ÐþÀÙë¤,©cƒj–ƒ˜å šå Zª±Ñ/4ÀÙ(¿ø +(¿øIífe-íJ3!•æ‡ K +­;2íEÍû€!€#ÛK€6eéÒƒí…4Y§uG¥O²N±!È—¦ÅiZ¨Èº­ÜhŽ¸Y'ºìD—èDZ:Ð ­‰ëk¥o‡øLšêª‡"µ•r*äÀqw ©… ”vHù¸'ínȳJk‡ìšl׬42Û–³Nü: ¹Ù”î0Œ”•!-)).(I²câÁ=É^•&!)RÊ8Ö^£ÃJþJÉ}Jþ@É/*é6~íŠ_û½_;à×"…ì@ñ¨’—”|Èt´·Ú‰€¶? =ÐzèyâCÅíf¹O»èÓþìÓŽø´ç|Ú“>í~Ÿ¶É§}Á'»ª ^¢±ERÒ­J.4çyµë^í/^í¤W{Ù«ýÄ«mñju^¸ÓËšý±’O)yבM¯ÑÕhÇn&zŸpGcô>¢ñB¬×“Ü¡ˆÝ.¢K@ E4Z ¢›Aå"ºT*¢OêsÓÃÈHt梇 $‰à.T;ÓT ‚[Ay"ø)=IÇEк&Z®ŠÖÅ ÷Ek +hLÒ‹ôxU¡úwÑú4º§o“ +Ù-}“,e¿'E´ÞGÒ£#¡¬§KP,4J·çE“£E°t@ Ÿ¥é_ÄWmlS×>ç^û^;vÛqb'&\Çñ%q>’àľñGhâÝ c6! I +#;©ØVT*!ŠP¨44VÆ@[Åjß3”¶0M›Êiê~lU–JŒIÛò£RJÕBÈÞsšVâ×þìØç¼ÇçyÞóúÜ{ßû3É͸$%ë@\’g@üDJ>q^r öÎ!—lç-ä”eJ-OH"±pH= Æ%±Ä~ÉĘä@T÷á,†““È-G:$%ÝïYÝH¹dx5Ë–·H"II1ÒYˆ#« ã)ìpge+‚䮚_r;Atä3×.%y­’ rŒ[$×ÈܦU5äÿ¹7@ÄCr¿ +$NJÖ€X/%# ,D‚*Yõj€÷BÖKnÂÒIn+÷>Ö ¤l±9ñùÜ2Ø}ìÏá÷¥Sa‰ûÜâ÷q˜û·˜ƒ²–û\ÂoßàþÔy?L +÷±û÷·¤ûƒ‚…û½»Ž»ë<Âå\ïr3âz. e’ÃÜ;IÙ¯ &qW\9 +ƒöÅä·¸·Ü<÷cgŽÄðC Ÿ >ÀÐq÷îuç1nŽBZ<ɥܕÜ!×nîeqdâÆÜ}Ü(ldèŒ$÷qCî3Ü`³ñn÷}®¿YÞC4)ï¨Û//$û¸.ˆ€ œËFP­k~—äÕâÐÌ}îÛ-·)x +ã× êØ÷Ø£ì0»ƒ +Â󦚭bmìzÖ¨2¨tª"•VU R©•BE© +QÆÜÊ‚À#¸{Œ‚Œ +y®£È©I(¬¢PÊ”ÐQ*ÚÌ´ðѻҗiå£vÛ‹±,Ƨã8š™Ý‹¢ÃÖÌ£~Glß•Q:‚8cˆ¢èŽ ÈêF;b9¼B4Ž[2†Pì&Âxãñi ‘]ǧãqT60 ~}[Wø9Ãàê ókÍÌóßøU™9íe~UÏ4’ÉJe<š©é·ÄnR¨—#á›Ô~"â±›x”:é#ëx4šO¦!?µhH$hÔò¬|†³°ÎúýyÒVœ%$¸h¶Ê¤]yRèë$úɤ}J&]È;tCàP hÊÈ-;t+È43¡eN°”tJ¶Ñ „¬³Q†·¯Á®<|5_%pã5¼Ù™Ö…œ²'åÿl#ÁÿA ÏtLŒEF‘AGdú`æÔÔ¨9óÚ°Õš=8Ek†vï%rh$3å g:ÂÖlGì9pŒÀŽpÅ";bÙ˜0–:„Žˆc(Ÿé=Ö:ñ +_'¿òÕzì9ÆŽc­ÄWïÄsà ÷_Ä×ñÕ+ôʾ¢}AÝ˪P0ÈËJSWË Å–éùåKÇg3µÜR |iøxFëf +¡¨¶³¶“@pI¨–‹W!óQŸÍr _Y…t°¬wQÚ Ã7-ž„9N¥ò¹6ç4‘q ¤a––0aNzJ^]ÅÓhr­ñ|ž‹R|(–ňy,l"~†ÔÝ|<…x>ïçø„]Ë…~™\èk˜²¦ÄˆŸ‰ô¬\áÏA_+üY¨îç /@…¿žžõÏùüô¬8'.w~n~ažž­«]¨¥[V# ®â"\ûLò©I²Ìcy·ò¾ágšOñdËÏr¿x²J²-¿.ëñ`…ÿJ—_›¤òब’_M­`¸¯®CH¹N o}ð&¼Fá» ›£UB R*îÒ¨€UÜŨ\Å(ïRôm܉Ը +ïDf^÷¨}¹½W·Ô..·£ÌuO`h¨·émú*ð:zb¥gŸJôY³ÄCßÊ'Ìvå~T:PÞ,|ÿó#ËÙðåÐÏÃ×Â÷Y—öÊ:êzøNø·úHÉëaª•)ž*¦8@mVЧ¾º›vi=µž:xõó`Eó5 +LÃø•F£±²¡†gZ_¥¿Û¨à­ €ŠVµ±Û_©ptQwpýx–è[¯8pWŽz"™49jYPë5õ{Ð88Œº††#‘¡!ì•E$2 êYy@_WŽ¢2Äã¡\ma8¦J]cbÍ–Rki•¹Fͪð+ªJ¨l$ƒ²Ä Sh0åè¡ + œ^$ðu04m‚Á×áÐ6t‘ì©ÖPlçì”0‹Þ,Ä…BI©·°|ãgŸ’ñ‡ÅÅD(&˜ì†j¯±#vbdÜŽ'È"Dy".’ŠÉ7N ›È +ø²"¯ƒÖ iUK>à +õ¡#Â0v[mœbŠ‹tE³ÁQå ¶@«Öª´Šÿr]åÁM\gü}ou¬Ž=´’V§-¯VZÛø>ÐÄEË•bZ¦tR —¨-hIâP \@À`ÚB ´Á„–L¸JIÃe# -ÔaÒ ¦í4NCÏÐNÇÓ?êvŠE¿]›Ô©=~oõæµï÷¾ßñÙü²O¦¶P0Œ,ÀØRéÊ4µ•zây¢Ùqˆzy¨°â ð%yPÝåy”ñ) +ødZ²1¤Æ~^$Ð>;O +‚àocCs“QÙ*Ÿ‚±yÄ€A†æ¦f¦'_ö£yùŸ~i‚’ž\ý;+®ÖL+¾gqj¡IéP2ì&UÕ…R6zøÝÏt}}QnzÇÞ×><·÷µW·^€El¯- ª'GþQ¼•ÿrMÙ¤åF•t¢ð-Ä[ +M¿"<¼„…C½ñoÚ—Ú)`Ãc¬ØáßD%2Â6ô3âÇ™RXbeín\Œ¡a7ª‹ùÁÙ±‘¦ÄüYa©¶¬¾U‚ZÿçëfLH&+Ü@/.¨Vʃ&[ðD¯à‰¢ä¯zb+ý%=Î0åî= uºœ. Öˆt@>#S9Jñœ.6Z€¶^©:p"@ˆŸ‰5ÊÅÅ5°&q†·‚©3¬GˆU´Rë€ô¾…‹Qˆ†K€‹*9ÃNbò1×!ÞÉuÌÉ +’lvÈpjÝËê2—eõCHÀ˘õ‡ L{|¬^q‡Y§¸Éœ#¢9ŸŠz²æÞATd¨o&çÉHü(^1ä…䥑H(¤Vf!™í6PÃæzfÎÝ¿ÀÒýtÏM6 +ì|òXÛ¬oCò™)©xB†¨Ú¹d{7w©Ðv¤uó¶sÅ)=ÃÀQ¹÷ Ó…8¦I¿³ aqzUz³³¼Ï»Gþ¹tX>ïuMŒf£ÔÇBöèBD£R\Ø°¶aÿ¤Ð÷09_#aÂâq8Oƒ‰«äÇ™^ëÕyk˜#¾õž)°:ÏÃâ‚poé(Ì(g=ï“J±’VÂàOJ¡Ô‡ÒЄq˜§óT‰á¡œ8<âÉT‡ÂC-$˜Í†‡ÒiqdPD ˡ“éx´L'‘(ñòQu6gXT?ÿ°¾êÑïå“3?îúþÙ¹-_S¼Z,ÿZfjZ)ßœ;ë©Kô¨ªd–·<´r7wäèñe_ÙÞ˜9òÂÍâ2Ùª)<û³ån»ÀÔc]¾Žx: GöêÁ,õ ±P»Ãie97±°çràq]$àÃ+p°³.,äÜ%V⤢îfÁʺ9‚ ++e/0üÇvhÓƒÕ–¬… +–˜…ZÂ1 "!~TA‘u¹Üìá“qY EwZ°xŒB’2Ui Z¸ £Øx¡ÞSïW1&)ÍŠ§ž¾´zíÚâPÑß]pYr÷'ýÅëPÓOøÅ_EGè3µ£kÄácà y…L…{ÿÒý˜DRLÂÿ;?“e­ñ`0fuhþ_Ów°‹ÝCµîM‰5†FqFäâî||š„+ƒz¥GÇÂ4l\¼Ëgƪ=Ì0*|nÕ~öùP>ª1š šg1NdŠyU$éô&´h¤$BmR’×’ÎxJ=á<)ðIuiyˆxcy¢p8ûÂœN¥_|‘äP‹r`$˜¦æ±ò0œKFJF)`‡hè3Ó×óÁzuBÉ”©/¿ûì;ËÖÝ\ùì*^a«”‰U3§¥[+¬‹£U?ìß[êð}xqË­ÕÛ€Ý7Ûþ6òl—ÞU,6$Ÿ>¾%ÓÑg"šëBD3Ž?S¯`ܯÇíóÎp/ÖViö$4æÕ­´l¢/…º¹}‰cܱDíõ¹OÚè´o ?:¿ªGÜÉ q7Ô“%Võã*œNÚ8Óð>q½:M²¤ÁÍ<äž“\è^æÞD¬I7ÇÕ â‚ÉÚ8ñG’A,N›T‰„!ò~®Î‡[ ÁÄë¸ZK@Å6îkNٸȘ‚F + ÑKü5µ:3‡9À0L¸aÔì„š”îàRÆ~‡Æ5;BõÆ5¦?”6Ø|§chx$m¾õèK›h&ÏN~Ýe2vsQÊØy±¥“/_FŽç©­1&ÿ—l¾=ÿ?sÞ +ôüŽ¥ŸÝ¼2°~÷«Ý¾Òw£ãÍdbRjÖ´K&Æ8_YÍ#Õ­‹hqIÏòƒŸ¼µãÛ§¯yåÉ­ýg7´íbëÖÎÚ8£±}fëþâÛÑ€º¥uÁúIOçú+Y¼Ý³fzª —ôˆ“ 3)†yÙqÔQp¼í¶Lg­ÕÊbåpÁä ݧËˉ«î¬„ Ü !1DC'$o8¥¸n€„*?§Æ°ÉŒ1ë¥Æ™QÖ’’ä4O2Ž†KÂŒ-©•ñjž”Š¡L³RwŽ„°Ù IÞ[+±»[%—À´:&\ôƒ?üc¿  +ÞHËX¾Ãü5.ßzÇgÝyfÀmoŸ>–y™¾öÑÌÛ>òüøô+bzïO! ¨ÑwÅE—”}B\!®T;Å-ê1î¬hÿ1wš£P)‰«ªâä]%΀, ¸°¸)[â=þ1%qy™*ˆe*QD…**U&zDŸÇ#ªTUh/øx^ +xà«= xDÁ"«Š‡G„ªOT 5 Šº(0hTN§ƒdÏÃF¢B•®–9C5ÚsÚí€v]»¥Ù’¢V¦éÚ\Ù©Ðì;þËuõÇ6qÝñ{w¾;ßùלœÏvÎwçØg;NLNâ&@)› T,†u]ù~$”L°6 +M§5”"-RWJФҊV¥E¬­šILj»nÒÔ´FCª¥MÍh7³÷ÎNÇjë¾ï}Ÿÿñ>÷ùñÝ/h+Îú…¹RúdŽƒßö\e²9è +ß +Df +ƒlfcÌKûЦxCGQ¤¥Å‡q%À½[©ÅšËåè\®Ê@Ô ‘Î+xa°ƒ‘ŸPiP¬5^š”†5åš–`ZÜZn}dýRð… ÜY–’ÛæÄ®ˆ@áÁ­ý<5Ò¡·ˆœYU-ÿÊ´èÞäËñ0©ªrº˜Ž¯ÀÇåTRbe'¿‰PIëÁ£ù&¼Àù„8„eÎú~“¼ºšü=ý—Ô¿ëØZ°,ˆâ=âø(>’™$?I΄þ.ß +}#“á—›55¨(1{DbdÙ‘Ür4£†KG2õ L +)Ahgî`ZU·’ö@*$Òf3cÆ"\|îÉi +d•zG,Ãc)‡Ýߦ 5­ë|º¾r6‡øŠhÛ¹î–æÒxºp§(¾™.”z ˆ̕ÐÃ#:ûQ5]M‚#ø#4gÏ¡ÛF×S5QÁGÒ^UÖ¼*¥%Õ¨©2*:®5>•(<‹¦ÈD$8—›7@ƒâ’£àêÜ—¹“µ¤ži‘{’£É?Ò”!­°@QÈ„ÑóÛ¤ÞXc$OŠD'ð€æyÚ-d«1þÞÊýÇË·æºÖwŠâ’"þÜëÏÏÝ~þðò‡GŽ‚æ¦U‡—¯;‰ßLåø‰Ÿ «Ñ…;ˆ-²ºúLñÇ'œùݽ½OæÀÜ©r¡¡©ùáë7Ï¡œÚ}ÿ6¹–쇼”®`ÂýCvApª²RÕÕ×|ÜXŒØä*F…_Æű ¹ŸïwóÃÎ1þ,5i;ãýÀ;-²”€iÂCÁCÂ3ÞQq$xÙôVˆ­Ó6‡÷PC¶!qÔuÕA7Ûy§"a½¸`üuçá¶æï´“[%¾ÕÀ +u<àÐœêŽ+ ÁˆªpŽel˜ÅÙ‚ß?‹€¾PÙ•à[¼[,ü­âÐ--_ÎB"•fK +ùß[=üfƒ«AÊf…ÀššÁ)Q³ ¬ŠQAX,>»Š1R0JPÄŠƒ¶€¢iŠBTt"Tš=HÂ#× È‹ŽÈµ±ä?&~RßÞwãÔ¡O‡v}}æÏåó—§AÏõñÓ}þHMö—S7Ž¿r©ü鉱Ÿîé,›ºúÞmSê²H+EÈ¿Aƒ:°äû‡àÅGQáPÑQÙäÚìÛ¤žŒOÕ’›ø-°9ÎO¯º¨ÇítDÂdÙ‘ìr4˜vØq¹Q1³3tHa —Ú̬¢ý³dëÅŠÒ" +Á‰^.‡iœ†kÌ͹3nÂݯ^ò%­q£+õT)GƒÊÅ®G»"ªs§‹wáTm,KÄêN ¯Ççñ{L”¢êœ¦‚*Ñ,1WžéªGV S}*lBmMµÍU² ¶x‘qÂœIE 8ø"š›xy¨˜ZÜî`„Ζ¾á«cßê;zí¹Ö§{9—˜=»nïÚ¸\U#ž-ÄÍ bjGwyêæø?_Ú°šîßû|Æ:vKyj_2 Ç0Ó õ`e¾$˜ü Éf²Ù#ÙIïgîϼ3Þ¯½Ì0»Ûs =Fu“cì1Á¾è™$&Y*â^êÉgWe‡ ’%XÏæÝÖöc¦SÌ«¦×™×ܤ`t·Õ:m–èHDòɲÞ]_;)éT7Ó¤DÕD¤¸f¥m˜‡óàAw{ÂK{… δ¯¾6ÒV«/ŽûÌí »h¼–qú<}“þ+M9è4N7dÏë×t¼No×»ô +úNý >®ŸÖÍúÓœ0 !Ï‚,æ°…m¸­­&âo¨¾ÆËQ%WqjfqpW„*ùˆ+•ró)²XI’:$Þ—7W]æ[‚#«–¦á<4ËGÓx”GéÑh‰Š¯@yB¸wxZüùnNÓ¬…¹,ê~狵õÞ¶Ôb%`·¬¨u¤L;5iËž4•çþôÊËs‹vË–Ÿhˆ¼ñÛr·ê±Ë¾Ä>O¾tå/ +9!¾iˆïkß$¨ÉhÃ& Ù²ÂBR$ÅB2šIc5‹fí"–±]–ì;ÊÚ÷Ť/š.²ï›ÞggL3ì]ò.ËÚ +{“"’G–µîdr +¯ÍoIšÃ ÌdF2Ãq“îÆñiJ¢CI‘£fšÖpk— +ïÚ5¨7Ò ›Ã¶ãö6É…¡&´…B’?åö$k¼ÔÂCqÛ¥t bµª‚{Ì©ôÛ‡«ÐP+a臅øäfsÈõr%£¢ + ª¹ +®°ŸáfŒ?ªbõ¯âwVÄu¤…È Ì+ yLû?¸²±Þ]]ÖhÔu®?æ…dœ[\ +Ó´7nr{îÔÇM‡¶Ï­}où1DÇy”о¼lDt@ŒVß¿E)ä6, ¶å–#BµÇ÷†Ÿ +(#ê/ãÏ&ØhÕ«¬ßñ®ò®N¸ÙLo¶ì±ìQ®¨ËÊeír‚]]Ï'ÇGä íxâ,õkzÒò;u:N¯°ûò"×>à¡%_Ÿì…3YÞ +Ozÿ¡ä•£ÙìKÆz3çôPpa›×ç“ÉF°5Ê Æs<ηP ý?cå4:ký ß«!V;À-ÌH1(½8˜0ƒ3Fza CÓïæ +(”Œa$g4Œ›÷6é"| ©/EBÜIP ¼~5¦@¦Uk”Q1{ +×"aG%`ÇÆl*æˆØ:0sÜð;(·(®gèí !¸@ÓÃç=oaè}ÐyÊçu#‡!9®xà3jgyöôÄGkþËwµÇ6uñsŽcûúqíë?®×¾¾7×NâÄy8vl'W$¦b<T(´‹ËC<Û- +¨"U+ª¬cK…ØÊŠÖ@ËÖL£lRþ€–Š†©›2ƒI]ËÚNi§n¥û£LÓ¨ø1¶bgß¹v e0?ÎýŽÏñÑ9ßù~¿ï÷ +ÿáKv¤½Å% +9¼"ÇYž¯\üíü¹îeRÞ¶5-øP›¢|þÝéÊ{?;Wùó†R1U5Fê¿Qù¢'·kúÉÓ¸Ÿà˜MYªX@ŸšÜ€×~ܧñý2Ô EF–·õ ÔÏŽîP8aJ0PV!Ÿ¼-·Kb³,÷Ðáz˜×£ÁgO¤çda©$öÀœ3²™®`¾³‚™3O™ +XÍtÅ%ÑkoZX¡I_¡)Òt²É KÃíq¥C³²"Gû…njssS“ øHO6Ë0fFAK¹¥dio»³Ãg#ðî>TÜT$Zq¨8Uî|ÝDR¨Z%qÀú³`ýYíÕ:%ŽÉ3y½ŒÕ¥oLKL +TZ>ƱYÄûj-0‹W™Ý°ÿeÛò®\¹·?>\ù}»0°¢¼vQÿ¼lÇÿÚ•ð®'®‡Ö¼d(–§Ÿk•TÕö6?…'š+?|¢óžèv;¢þ•Çð于˜×fŠoÚ 1ƒj‡…˜PÑ‹Zz ÃÏ*£ñºCÊ¡† +†»à^)Wa +ÙÈT +ûQu\Rê >«qR´‘æ1CõôÊIÍ{þþX[\‹OÅ +… +Í5]{ãFt°f9£”‡üê¢þOèN,aÃÿƒµO—«îØŽ¯V.ò͇9Ý‚âß´û[‡v¥ð§•†û |jgÖaYy|ªÊiæà4Ôö„9ßg cKøÙ0iËÓC™_ KȨ†Òx …ÆÄЄxTü¥øñ?¢}4s%C"|¤>âæ8ÕèäõN7j@ª%mZLŽÉ1&×¼é¡HIb— ºé­‰! "¿1t‡BA”N#Ô*†Ý¢F8-† @é.àœ˜*†xƒPw&Èp ×ú¾í3±2º¾ …;õ +e¨ê²x¼™p¤1•¤c.:–¼’$³ÉËI’ôwgfðÚÓQ`×Üò= +Š’N®€ŠÄž•¥pA~*K#U”Ð:@ÂL$F€CB„HÔø¸TÚRê ÀÀƒóV ûùj˜ð¦ß²á2%U¾[H[Ô.ßÊ×ìúR¥ÍѺºÑF`0Ašñ{†çàV£Â¶ÛÏ/Êj×¾JÔ½{»¸Õ×Þ§ª8Ò™²}ÓðØŽŽ¸ +) +Ín¼n|±(Œ§µŽÜÎú#Ö9~ÎÿqàãÐœx•·˜sØG»/à Źx}ÜÝ°†ÇAÈúhã©É[gíÉÖž uüVªé,L~¿LŽšŽ2/Û'Ùä„ý¢ñ¢åwâžcYRgfL“Õ‡}Äg÷±^Ѳݿ=ô´q̾׿WœtžΈsÁëŒí‡£ ¼]f oóGF6èZd«æGA0¶J3`C %õIDròžð di}±›*ZÍùµ üªkÕ¡kêòvI°k¨€Íã0§Š1wÌ¢cþ€ &'˫ৠŠ= X>X.»CÅlˆ@‹ë­^ê I$ò𦊔,}}C”ú‡7¼Å˜ø¬qfþ†fã³Dà³vø’™ù¿¿éÊBÉðOxiÍZ ÷›E‰ÚëQ¼`¯âPôf•â1‡Œ[.Ž&Êî4ßÅA­èÃøÇ“—*‡+/]ú)~g~µyð™uGw7lÙúªq£½2Rù R9_¹}ëÞ ”È n¨?´ùjÔõÌþýß%Û+/ŒdeUU2#†Qj]>¶ÿY GÊgÈŽL¤¤yåð ‚ZñÓZߺÀžÀQeEà¡ÿò]®±QœWþ¾ÙËÌxÆžYÛ»s±—¹y/³ÃÞ¼k‡5ŽÀ€p6pº‚%€€Ä¨¡`Ô@¡ + 6´”ª‚¨Id„(Ĭi+!@JSµAåQZhÔT1ý ­Ì®{fwSH[UÖ¬ÏÌŽýã;ïyÏó6/Пj^£“õȃ¼¼‡÷ºSɵM[›¶ê¯6ýÖ¸’¤>–ÿ)NJ“²'I±âê{å3.Î1Ca眣»,@ÜÐ +C1ö„bÍZÓNý¦~[wñzŸ~Ew]ѱ.Äšu#J4ðŸmÁj‰' Iêï5M×aõR£ØAÅøûD(¸;À¶„À6ª=cÙ¾Z\»=ñðx™&²ã¡Kùóʼn<ì²jà'Ê›ÍÉŽÅN0NÇ<‡ò9_Šüžº*eˆeß„Fª‘é²?$…£¡é±$ŽÈðaâIlŠá$’›œŒX‡J7ÉGQ%Ãæ,ŠÍ5‹ +þ.l!glòðÆÿhuk L˜¤Ã'‚_Ã._™3ž«ÐëbOµçÃwoØ8ï;x¾Ýd¶—/=²2·ïµÞƒÇˆÒ®ov¿ûì¶COw)¥¶•Å"ˆÃÅ“™ÝŽüÐaΩOÝ8mÇ휘ZnnÕ\Þ:Ls¤åM‰œ`Å9‹7}I]µZ¦·ÇÚ­µæ^sol4[ˆË6䂨Ÿb\À m?êçÚ•v¢}4 +{±_ +*ª‚•¨kþ´~$ó2!úM‹£ÂÃqÍL3çæ†Í#Ü[ÌûÌ%Îk™ã6ñ€èU^ÁªÒ§Œ(·rÇHœ<£9K¿ ¡°“‡ËÁÉj0,v©ø"LK¥,)C¹NÐø/)cŠáó°-êâîðªg„¹ñbG%)>½·k¹ö,*Ù¬ÕOþí>d¸KáÍΉ¤¦>õüN$]ö1‘“tB¬‰è1c›ñýº×_¿3¦ Þ#‹ÇL\[rF#ÂxÝåèµè_£uÃ_Çëª6ÒZ¿N^ÐîÄÛucuD†"!yê:'Q×cj"ˆôŸtC ÿ“h¡y_TQð*eJ!”í©”êKmIMyRG*$Av™f_ Ƕ'ù!;‹åëíâä¡J8uŒËúÚt-Js5áp¨.Ä„¨$ŠDk +v‹FGØ$âtøpŽ¸ÓªücJƒC®ü¼Uì«šO$\!øû7—]‰L¿2zgJíXýÜ‘GÃÁøcøjsn‘¯vÖí?œZýòFÙ~³(¤u¼P\76¼xÍÉk„ùäbN… ui±ø÷O'íË£Ä_ÌéØétwz¡áà82@•rKöŠ3îù ÞÀ3œÖ £BApÁ/J’€<8ˆ$0v]°–¥˜ «IjP³ Sß³ÛÒ«Rˆò ɸ#)ø=^oT ’üéu³ °ŸòxH­–E°õi ûógã ³† ÈèN ×®WYž­f1+éÆFmÿ¦ûømÉң޸xÞ3ÝŸYe!WR) XŒJmáqŠü%K®†Ò?ó•_{ Œ:WÅyΊ*åËe¢¼å 4 ÝÂ~¯³ .'Ogü¬0.ï§_žÓ=±¥¥¸VJ.Ëõ¯V¨ŸÀfSU±@[ع­ã“·Ýí»éP(ÀëÓŠybå¦yZ‚õ…œ~ÔOý‰¼ýH^ûÌ~ú&±P\/Šñ²ô¹ô¹IæDLN ƒ¶£ÞÖU­}™ +ˆâZùŒéËlÉìÌÈÍœÊÐðG­7ЗhªÕó<ý¼ôBt7ý²t½ã?…."Z”Lh2“C Õùé!4„iÄ7ñ³v"LKIÓ5’$Ê2Å &˜Â¿¸¡ß“>Â'Ô}jT ª&“傼"ƒ7¥c©`Úv›nĦv™à¿möz¦QFÛŠ›ÑFÓŒ²ˆá!ƒ1qQhE®¡©š¨(A-yI2jÆक़À25n>*K4èEô>£hÆL¸“V@Z‚©¡H:ãHfv +þ5¬It" oÔüÔù1Þ—å¥ÖLX{æAõ”Å#‹EYª*¨Ú*Á­"¢!GEõÿ!$êŠz@[*KîÿhìÁ›;ù=<ÕIm¿´‡ïÄy«*»˜J×fÕhUvÀ+ùÁA4ï÷V•÷oñyM‡`™9.ß:÷ + +%¶‘·ÂÙFo®´….i¬Öµ»‹Ôº»È®(Åÿ]sséqEe¢ÀÈBά%Åü°ùž™l5kæ~ó Ùd/iZ|á>}aUå¾Ùê\Uþ¤ ® öØC¬ÂJÞYßÃöš{ÄÖçfXC—xñÃÓ¡‹ïö*Ívº:;¡!шѤ箑#‰ò€¾ñrí‹’v–2¹ÅÆT\t¤:R.ÞÃLÌÆ£Dr1Uà ¶ÁÉ#{í¦"ýˆrcêKpl Aë}^•‰*|&²KH±^3Æ,ÖsóŸ‚vÃòÎØ•…ÞŒ©´ÇbÜRsäÊÓú_™Bm!­ù©L¤!µ²XzväÚ7÷ ¹ì~g$Ê熖õo´ï(¦ãá–Ü¡ñ—×>üÂ+©Ñ˹yaO¯ºbÏòjwfüÑÛZœ¹UKW¿:ž^·¨° Å}/?ž1EaB‡ˆ4Z§¹½Ëi"ĆHÄ…=MBh +}®E“©½”•O9®·›u„‚G¥Y#^ ªùáê6´öâ’|¿T“ÈVI“ú¥Š4!•®HVÉå"Üa!L†3¯Æ¢VVcûÙ+l +Ü_¸yMU÷QUÝÎÀ;Ž—Ø),+czü0$” Xäu(lyD–õ«’qUš¿*ýÏÕ‡³e}…²3X¢Ë”‹í„ÁdÔ8"šœf±)4DH‹-ES"™0O8]‚ÎIK*"Nž'â4Ÿþ¿gp—nÐ’¯›+¶Jl´é(ý¾ù$}ÁD¿Aﳑ£¦Qû¨0*57YÀ„UËȃKŒ ®—tf>§سм>Êc]&·}wðÔàÎk{z·uKXí²ŠöZì½EuåÂEénAõúÎjíÀÿµ§uÑfÓ{ë| +QR¬ÿøÑàh²¸rñ™Û¿ï_Œ÷íšÇ3Ô&˜bIâ¾öê j²¡ÛI~šœNÞDwПH«FY2ãNØbûº°Í¶Í>ÂûÎøÎø§È‹þø‹Éiþ7¢‡@A¹jÄm葺Hò#Å} ™¸{äù—rXã+L· ¹d„ ‘ —pÔ¢6OÞÐ: ߈LŠwaF¸„²!g¿‡ãGÍr¾fEø¨ÙWÞnj?¬ T{6[X ÂqfD·[³U¶xö×Øv…€j¼\ ÓÀ¨óŽ¨€sn0–Ö]“!npº)Mèž¾t{Ë®›oîi/öÙ,¡ÐšÈ?³²°zá†ûÜwv È§—ßšüþÆŽek^*…Ãjßñ½÷‹òÌÊZ`¥XቴSK¾ãüÀùsç… Éë-ÐÏòdHh±ÑÜ ŸNÊø9NX8|õ-ïe@_‚qݤ…C;â)¿^EŠœ +Ë‘\FO  2äFkyÌQD1(Ãá@†£æ‡|õ+5…¬( +©)”Ò0/Zõ e5ÖĆ´q_QœS`H~hü4kø&0ªÀË,û`ö ô l ó%4͉ŒÓ×$&EÒâM5§¥4iq‰ _*Mdœð=ñ4J»e¤S’Ñ)Q*Ί¯’¨dÎ*WKÅ5êÝMV¤]-ûC‡ZÞqŽeOOg/f]»Ý=$®by@§[1èVæéVæéÆo Ê< ÄÛ +ñdêl%Û|zÅŸ”¼@ýÖB·´?zíéáåç¶>³õg[—n-Ú˜Öî7W +‰œ¨ä[BÍÖ˜{ÿ}íUø2 ÂÅìqž®<Žçi#"J¢”Ø”¸›0%b’ €aŽ'O¼×ˆ©Ö¼Þ5 ´S +4p8>O`Ï»¾zy3(˸YdY÷‰³sulçÊ3ˆ½ZÕ;Öd£È "- +^'"þ xO”G¡<Œ¾ÈÈc q‘«(nÐhl<\@/ÔÏšk€*×Û6ö|­óÅöDïÔŽÚÐúú©Ã×?OŠd>^D.~ã+KŸ ›»|þzâÝo ^uàXRÑMT·y•µç5Y|Bé¶VÁÂZM™@Hò°N†ñÂÀ—Y7Ó$X§¨I°³Q!ZŠR“ Mr©7¨Åµ' W`Û-ä*¹A¹¥P +htÄá´µ†£yŽ—ÄÄIùíÔrƒ ¤ù¤g˜š¹oÔ`BÞp:½ƒs/ÂQS¤\>ÆÔ$ÓÊìfŽ0Œ…`XfP?Ö˜{Œ• Ç”V…\ ü*~½„,ÅÇXÁcv\u¦ +RH?ý™}(Ï}ÕÃ& üÄGöÕïY¦Ø ÙDotÆnƒéÙ増—•˜Ýè +ÒSˆ†SÕ×ûúR%^ ¥Š-t…$éƒ ®¼^rM§SR›AMiRO¼ðoۨݎMt?YeÔëŸ@#±yæ± •huD’-LxŒÔ,M"iOò“mM¦A™e$ÉXæå®à$á´]†©xI6¶y›hé|þ†h gíÌRáxa¡ ÌV |áÔ>ËLInoÖé~Ëë@ñ¶Ýì<û1äÈ: C‹Ç€\ßÌ×A—Ö©†ÞÖÄa‚5;ÿèzž1Àv;w™kÀkÁòQ&Nax˜ŠjÖ(ö<ýÇY6Î#­ßˆD·…OF§l¼£:Â.šS”´«=CíŽWïž–iB¯doŸ¥ù¢Úr%;~e8_ÜYmüä÷=û…gÞúÚêÁéÇ^|âÄË_Y[ê왘{h¬>WW¶Œ“ùéË•Å/~ëw“Ýïúür«üÑ¿‚xtÇþ=Sñ ¡Šj†ã@Í/ .sâ'·/úÆ-†ÞÏŒÚΞŸ³V-ÞåtŠ1-:$?§sì—u¹ *W|q!ºü +Ô3&Ö +Ýcb¿a$M¬uù÷ÉcØÄÃ@ x”‹s¸tM ü^7ö O."Z«"Ó»íÙ9"S🀓rLfL¾F7Á@v‡ˆ´¯…Bƒ¡k!^¡mÅ” akÉâKÖ3t%£ôE–a*æa6æaBæa&æ ó$à°¸žŒË +gXþØí *eV2«†–«6ó€æáO±[=)ÍÎÄ‹³=)B3 2¸©S—·»' 4hì(¯Ý=¨èƒjÖ¤Ò…J]¨Ã”‚Fìkjì7Â}Í(£ÞY(â‡3 Û‰K`r3@³W 7ïÌÿ(¥?Ô™‡œÍCÊÇzbÚ±(Pá°^um¢‹Òã}1ð¿Ùwzú‘²Ã;·Ò#qEÉ'†÷¤Pm+]‹3 +ÐëyxêèÙÅ­sOŽºLÓ¥ +|ýèË5­:½å;×ݦ)Fž.=a»‡@Sä@^Χ8—änˆz:mJANá’8(+rRŒšX¡bR÷›8HFÌÄÉ_ €Ôámƒö˜}AD"áP_RT‚^]ƒ$D9ìá=DÈöõI~ìçý¹X”ÀôQº£Ô-6ó¡(ó¤T,ÛKQ´E¬Œ>OÔ9•ÇêauQ]R%µ©.À`E]SÅÔì +l܇F>½m“7Ö·+Ps1 [ê<ºWSÆBŸ^gXÓtëà!B|ÏšÚr5Ô°5é|Š9´UÛL©:L“×£Gx†C€Î]€Î—ú;™âûÇ/ó¿ +\çoñÿñ;Sž_:©ëºQMðõŸðŸ +žö'ñ]ÿkÒkò.úß–®Ë“ü$ÈžeXqöèŽhHÍeÃÙr ©)É1ä.b‹óÅpTÂfÄôҴܼzõjsójsv"ŒK›õ9Á™œ%›²U6œ’$§RIU + ăÅÞ€{#É(ŽdMlð0k¿ÆýØĆaäLl†à|‡õ°Oͨ0<(KÒc©dæ’üj*)K¹Ë˜³8¯G ÌK /¦© IÌ4H¿÷ƒò?Ëü×˨ b¥—½ïé¢g–³^äí¢ óòÏQ€“J"É9)…S|ꔪb‰Ã4s¹,M@[Ê®dW³kYG6^*ÿ œÆÍ¢›T†€ +CeUñaçææÍÎæ_åY*? Pñß'olÄ6oÒD` +›û¬•¼ ¿ë8kÅò:êpÁñ­SH^ᘽ,»ëîúYf¡9€‚‰;Õê”È­_]®P¤OV/Wÿÿ&Ö;šÒ +½Q?øc~ äóVj0•»\nl¥¶ñúñ7ÿКH$L÷аãôÑ­_¿Ó!ÛbhIµ·Šïƒ,ä^0kBîÉM¡ˆ=¬ %INNädì”EY} ,nAa:nApÊ0 ž^îŽTôQTúz¨¤n¹hÛ¾mtRO €ç’-øç“}¼ïy¬,*KŠPRšÊ‚²¢¬)N…Þ_±mê/-;ÈÀIÉõSèdÀ¼Jˆ£ÿƒâò=îýè¹»À~û8¼ý^ŽO‚v›ág ÞÍ#EÁÄ«VÝRˆ«s38¤=#¢±jÜÄá.ÿÇ·õ¢‰‡a@ÂzËÄuC—L2 ’Aº‰3]þúÏ RCU×`LrƤ‰g Ã¥Ç4r¨õ‘cõ˜×ëpq3b½6œ ‡¼mzˆ ±ªnsíÅöR{¥íhCÆ$ K¼”ˆCÉŒÓúx>~%~-.øBœßÒôœU„KEv©x¥x­(âB‘/Þâ¤*®òÕÜd‹‰Ç”nn­µøÅÖRk¥%”À¬¶„V|w»Ëï_ÖhAË÷ô8«fL€Õ7ïøN½‡ª¸êô  ¿O^—ïrÝú¹W×XKe–*‰”ÏïËédºâ´T$ºR¾õùK∊}j¯±’ëy™nå8¸?ûU¢àA·gЭfœØ£e¸AÍíB´‚B…;sfêbn¯µyñ¿„—lgÇï=ûÎŽïì»\οí;ÛgßžºŽÛs“ÐD¾Ò®I›åS ËJÈJU6¶I7$Ú´c°¦”µPUh¨LL„ +õÚ† ‰L-ãŸEltüÁA VK@« +æð¼ï]°!ñÏûøÎϽ÷ãyžïóyø÷¾~uôÐزߞØõXÙ€:ïOJ²™6ï/wF7µSFY+Éîl¥ÿ)D|ïÎ/È"¤¤Q‹Š’™˜ÜnÔÚ†›žûdžöŒôŸn?»— e!¾}ÿ±ìÁ6÷é>ߥ!|Ìí«+ž‹‡A*‹vر+ dðHú˜?¤å‹ê¾?—¶½ä{ƒŒzmÝ´/ò&4üB#5b†S'¦Ù?hakç»MkI[ÖhJ³µ5ü“±µç4Z$U¢%{™C¤qÁ¾ÄÂÖØ^àCÏÁó/µ¾9¬œNðF[Ócâ-ö¿ ¡mQN€ZD· ¬X  ª’QhVî +wÑ,«'S‰T<åa… dÀ[¦é*æK¨“Hñ„Ôˆ*TŠ‰”«1¦Y2K%PLÃj7êGÛÐ6q?Ï̲ +¾!ÎÆçÙ~Aœÿ’¾¬¾Ùà¬Ðˆ-øæƒóÂBÌ€?æ¦CV'³tÍ’¢9&ÖhfÖ^(Ž§ŽÚ~ý™=Þ|}å/¯­ß +qÃkËŠ”õBÂséËïòîÁ\âùý;®ýcjã1ÌX#åù,d@v€Ó¹~NæE§¤@Áþ¹™T-Óe>°óçÔ9L+ÎiA$Ö6äˆ%šèwÔ¤¹x°ÓÒ”BÕ´¨ˆE…#Ñ(•;­*U£—Õ4AU-¯q6¥µÀ:ÁV@ñR½uá!Üd¨"«¤Â4xÍP^4sñ¨oÙ÷¶Ïùø²ÍQE!ªÂäXÒrN¾åH7°,b“bmYŠXK94›CTNÌѹߖÆ>JrËaUH ˜)Z-qÅ™$A +L'‡$Î +ÊD.×b™·äÖ™1 + +Øp8Š+“h-¤¡@˜~fSßæMkkc¾@0(†3ÈÇWúÚ¾AÓÐ{^ b­†P(!X¨b[3Ö笆uÖb-Ið«~ÚoÃί\¢Øï +Wݨ›´Iöîøz¬éXÒçÌÑ•Ö´‰â¼?]Ò¢pß–³uÿ@Xƒ%R€Cxt·qâpsH¿{a6¯Ïú¶Ý;d?Wã'oÂÄÂÄÂ6Øž»½“9µBv°ãÈîŽÁLuÂ"&a Ea FÇ)ªÞÂ7RE¨+‹«hò²cÁÛsàN‰ßO)xJ_FGF/F¾å"^»ŽÄÖ%^Âg ;ÐY¯Ø,ð.Ø +;9^øÎ…2<ÔñrÓ±ðªÀ…2½nwÀB £°¸ú÷&h%Ø•‹XfS ¤wÐyŠšƒRÀºÂ…º4Âɸyo+”‚æYûÈ”†¡×,(•(>ÑK?+äm*~HÎ }zìÈäæY…ËF²b®ü½­=ƒŸ,øø7ïJvJ‘˜ç•ö+GîÍ'ãÅ+OOŽ˜(qëÐÄáÃK=[‡é»o÷Þ³A€æDé«×éÞ÷¨8õ];´À-ð4Y8žŠ/¢ ¯,{‡hÄf¸Îæ<ܾŽ=!Žö,¢f¸ |"‰¼^J`T†fJ]‘ð~YîúÝåãÄqÇñ™Ýõø¹/¯íõ®íßym_Î=ßË5±KÂ5Á +%\#*^ 4QÔ´i ¹¢FjE¤”Ð?€T +´Bir×’ƒ¤êU¥Q#TUM¥ +Š¢6)­.@Š|×߬ +"J»'ÏÃ{77»óý}Ÿ_^¾Æô¤@mæh³Ú× “9h^/€àœËzw+H-0EõÖ•f +3†|s5¬¼•ïšÀê}ÑŒëó÷êmÓèW3àƒxæâE9§|¹j­:5¾[ +<÷í·–­ùŸohýf•“ڛݰ¸ûüYfüì.æÕõ…+Â"þ8êÆO#v÷:о}Áæü¡D¨7´,$ ‡'–œI +ÿô~âãºA±ÒÅÙƒ4êQ4á’/x1$rO&#ÛTËd,›vg2â ›üÁ@uwà ˆôv²³E¼ yOÀÆî„a;aØNÅÆûy‚e‚Óä<áQGÈlVØÀðv‡áí»ÛvgýToû6¬lwžõ +àaÖÆÔ~Óæûi›³#4Š£½2ó•iXXê¼Ô!x©½˜k;€ü5 ;Ò¬tAâ%#ÓAúŽ©×V0¤¿M†ìškÞ=c)âªËôðã£ËóÍ –ܘØ^ÀÔf¡ËuryçÔÝ)ÿ~Ïâù}¼øÈèîÞüýx¯vOÂNõ 1înÙÛ¸÷Ž-ûÆ ¯á °[ßÝXµ4sÏuª> +hû8ý$Þß0Ãâp…±Ðgëãñ1ëíÐeëšåµX†û-öà¹$­Ôc£±5„÷J>êt¬'âToŸ +öPS¢46³p ±UFÉt"™‘•ˆ,+¡u²#))a$% +¡0³ìS +§$t9¡Èö$!éy½„$Q0qCÙÕ'7ä1™—›ÒG¸⦗4>Š9&¦ó˜Çclgӵъ»ÃD&_±¢\Q¬õÖQë²%(~žƒK'ðÓ]¿…ˆ+´Ocnâ®eÌ5çâWÝ\ÍÎ#¬c5<< ·`8Y.H{•³“žrÜâH¹Š•ÙvÛü|ç^“•c¨Å6k±ÍrŠš¬cÖ€v.OE†Ý.ʺ›SA¹ŽoÛ¡3‡\ƒü6¨i®çÁœ°ç?œÖKø_Ž/ÞÝ_Æ÷‡†æÏ%¹?í˘þlVYÙÍóÇ°óÂÍsÙ,ØßêfQ®.\ñLÁ9¹GF*.ÂnG*ˆGB0Ô¤ðŠàu"NÌÑë‘z¬®FFc£úZÏÚðëIÏæÀÆàãám±múFk3}Fy.¼7¶GßaíJïÌÿ°|¨ðù;úPú¨x}ø4x]ºUÌ‘ IP<ª`5Êcåõe?Æ\8¬j +(A4¦q!ó…šG~ÅÏùõëZv¦Å¨žKgi®1³ðÌ´ÊsPÉîhÃßVo[¼.¯2í~AÊü•t«òÙPÞ¸Ðg§ìX%_²Rûwš¹A-æÿ“äÞh}•{ý'NZÊf“aõkó/ã'ãËïñe³¼¡Ç–Ãtì!3o  ôþ=-ƒ)ÝÚã(½Êm,ϧ^¥œ™Tª\ø¸…«âξÓU>,EhŽ>˽"^ô¾TóAYêSt%.ÄuŸ·èB½cÞkPx‰QéÏeÛ¶‹«rÝÈ&ç5£~xàÌW.a'eQÑIIT¬Ê¨„«²Wc4R&5ìR–ÚÕA:p†`×ãP_Ä3´›fú +í/[X¡ÛfŒ#ÎI‡{ÌyÉy×áÔå“Õw«ücÕ—ª7dþQyR>RåS#r,´ZbzaA®ñ"@òßJø‘Ò󥓥?–„‹=BÅY¼ïÇžâïè +ʯ¦ûèËô~*þU¼.ò?À¯âw0ÿàôu"ˆ"­6™ºŒeQväª@1©C«BÕ)Ñ”,b¹32úí 1â^8Z›­q5ØÒÛ²Z©A0°wt +ˆÒ69ƒ%„±5åü(õkl¡÷,úªq#Ó]ÿfF­«8ðÁ‰o®86º²#‰ŠÏÃËrc™^¾xënñüûÇ +:•bLKÖmZ*j VÙ¶—ÙŽ{.0Ãa¯"+^çûO£)O6Ë}e³›_æGø«uÞð+Y¤"bEÁZô~GðÃèañ!sÜüzbmy«¹5ñxù@b&q.!õh=‘!4dŽ q ÙâÝ:äœ@'Ì?"¬*:bÈ‘HÈKIԈѨâÁ,P¨]4éæ{ì‚ä8#¦1M#$Šq(lÄuGÈ×嘆$†7šw͆ø¿ì—]LUÇÿ3³³;+È +»Pê² ìva¶Ë¶»@Ùî_òµ¥P¬Ò KÅBiJµJbbLLµšJxЦi£i|ð¥‰&Ö¨©‰ñIMÚFñÁëƒ!AkÓ&¦ +x†;ÒÄ€kÓ§{6¿;gîÇÌÜsÏÞü¯,—x³åªw¡ÜUD' Ù^‚œa}^¿®Kºù¿¾¿¨*¢S«®Z—è"¹(–««+ü¿á—ü_n@¾LšÞS§ß‘´ywß\Ú÷Iw–ÅGÖ¤D·¶Ø¼¸h¦%€`^ bÇ•P€IŠ’ í¬¢Ã”Š«G$3q˜Pn,_5v†„ßôªðÛ“ +ú!ŠË·2zË“»´EÂM‚ (ª»´*ê/œm§ÝV|Èí;¼<'”¾ÙP$%!7½¿Ô¹ügcÿþT¼+‘òåä”Õ¼a®ü)ñŠX(D1’‰üÛ¢àpÚ„Ïq)·ƒdd'°5Q lUš;¢ªª¢êqÏœ¶<4]ÝK7…üXÌœ\!KsóÐwgt¼xtÜA9ž[hmÛ3s^¼ ¿Õ¯9GþÐŽäèKG_ÿÌ&²#¾¸>’ˆ'ò0`Ž@ù +pžaÜ·ÀÈýÈSˆ—7F‹$Á +?\PìÜ4®äk`Óe ì ü$PqØüðð)FåG€ï6£Zj¾ôÎ-ϵv@ï¿yˆÒ˜mô¾Ø÷@ó@}C3}C2¤Æ-?­ó@Çï@× @OHŒ]?›ëÀà/ÀÞo¡Ï€á(ðDçžp‘Ãáp8‡Ãáp8‡Ãáp8N6 B€iELO(!ìÈjÒjé\-U-…E®ŠáAé¦2ÖÁ[éóWUׂ[BµuoE}tÛöX|íFKk[{Ç#]èéMïêÛ=ƒî}ìñ¡Þøaöú?͆Y*Ë¡ÑTóPBˆ Š8º‘Æ ÆqÏa®Â³²BýÌö ê¨};v¢}ÁAaí+×ÖÿÁ;ÖŠþ¿™”µ‡‚1ë9-,ßF¾Çòíä…Ͷ9©&ŒNËinÏ[¾Dõ¯Z¾üO-ßNþ¯í)£7múÇ'3Ó=™c}S“#‡‚É©‰Ñ»o@;R0(Hi*è§PN"ƒiôPyŒB7E÷#8DM’?QªËàž&„‚z÷ãïÅ3Òö¸Fª°Sd5Ôbp§ ‘èž‚*ÌB†b#ϼûûŠ1±€†¯Ù?—·™ ʱ9Å|Ì%¥^š¶V™rãüS'¯îWo)eµ÷¹kõ­æõão.tüñÊÒk”z˜UåÂ_ â¯O +endstream +endobj +1 0 obj +<< +/Type /Page +/Parent 493 0 R +/Resources 2 0 R +/Contents 3 0 R +/Rotate 90 +/StructParents 2 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +2 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +3 0 obj +<< /Length 1048 /Filter /FlateDecode >> +stream +H‰|VënÛ6þ¯§8À€‚&E¤¨[PHì¬M×,Ab¬?Ša`e:Ñ*‰‚(7ís´/±·Ü!i[vW †Í‹Äï;ç;úrå­V (¬6^4 +#"àIHs  +«Æ;[èJOÌG—­wöú£öð@qX•vFîÙû@–RW~rÒú S~J^ÀuãS椫ån&Û,¶zP»¸Ás1©|†‹²WÁB9 ¡÷yH‰r€u-{¨Zûný‘4Lï¥ô3|÷ÓH®zóûNjý+Â.ðÌïøœ˜‰yöFÖµ²§a_¯úf·¶ôðÛÝë íÿµzëQæi£j!åq«¥Ó Þ«RZ5þex4&Qdn8Þ +t—…‘>#ȒТ¸´«Þ(Ÿ¤Ÿ“™V¸ëÞ¯ílm) +A‚Á*ò|o†³Â@Ù™—“(ÙO¸sáOt&ŠR\àñÀºaƒú\öeäxFn*Y;Ö1xÈh²;™¨åêóB˜ØT2/¡‰ MŽÀ9sà÷Òâ0!Ú0ÄD +t:Åu鶟ü CAÎá@Jw¤4+NŒÍöšÇ;Ío;™‘¡jüGQýÔ[·Yú7ºŸÄÅ$K÷|—Ôˆ˜`\èÑ­¤Ë +jc° ›J,³ðWXcwðò¥wv³¸^Bä½zå].Þ¥­=¾¯=–ÛÚsºHÓBçankÏf=ä7ÆX¨vÀâÑFl乺Yœp±S®C3Lü"KÆ0k!)Æ„!÷b¨T+ê ðø‘Š°È±ì`–iaïÀïÙ*yH*Ä_U„F´âQ6èÄ9Lñ9"†„¦œ"rzôý¶4ˆv +½u0žÛP-<‰þxSt]]•ÖÍ) “YrÎB ÅÎKrÛJ(mƒ®W%vì7íP;«Îa)׆Q®­-Ï¢— U½5&LXÎZ&?꜌:Û* Õ4Ûvïç9Àr‹tªàþâ>ÊáYÊv4r4^à ØÞMØ•ØuH)†×æÓQJ±ƒA®º{¡ÅJu3žÏ9S¬¹t*¹bËñPµ5†£Vå'Ð_Ûò©W­ÚjX[ò Úb–‹1å'´ñHkÛùCAWu²®ZäŸ ¡ÑÏ•‹1–,ß+7Ö¡»’Þ+¼Š@~ +Þ›SÈtÖþ¼0ø³5ù +ïäâoÚTíwh*sñ–Øbze.Ú)R6GÊñ~céÿ”üùfùJµžôe¶¿ðµ:E6ú’¸¼ÿ¾ ­eóqÆøÙæ“> +endobj +5 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +6 0 obj +<< /Length 1040 /Filter /FlateDecode >> +stream +H‰„VÛnÛ8}×W̾,( ’EŠºEÄé¶iê¦p¼èC°¬D'ÚÊ¢AÊÉö;vbÿ²Cêb'ˆvaØ"%rÎÌ9s(Ÿo¼ÅfÀÂfëE@£0bô—xÒhAÄÁfç-–&…Òàû1eë-ÞßP¸3nˆ"›Ò(†{ônÉ…4õ‡œ´~À”€Ÿ’_árçSædßÈa$Û,¦SÃXᾘÔ>ÃI©U°T} Nû<¤Dõ›Fj¨[÷®ý HZ¤¯Rú®ý~WÚþ~’ƼÂ03Üs…ωØgdÓ(·»ÔMÕGßs¿}yfü?6=ÊÃ<Ícd-¤<Î`sÑsl •ŽnI¹ ·–ËÂŒHŸKDHIèQÈœ»™öJÄwéçdk‡5Þí×7nT¹$†[Š‚C XEžiôYô P¶°är%ã€÷%Lzb1Q”â·® 'ê-9×iä¸GnkÙô¨Ç>à!£É°/²;ËÍŸvA˜¸V²‹0Å„&'Á9냯¥ÄaBŒEˆ‰XtŠó²¿}ïò&P:€Ò¬x’l6rœ_ïmÈŒtõÎ/ð*XKsèo6yVÃò“¸8 ÉÒ1$š#&¨‹@1°îú™ì»"µµ± ×J,sáߡǾÀ›7Þbµ¼¼€È{ûÖ;¿XzçÎ{|ôË÷ú –HÓBÌy˜rë=—͉œd-ºZµ¢±<#Ä»Õò =ÁàØøÅ°0á9?»Ô† Æ!þÝÈí¡iÑ#`:Ñɺóõ +{ZÌtñ2†¶Àƒ%w€Çô/ÛNj}ØwP*4µ²N6¯@µ¦AŠò=¬™Agj,Pê “|V°Þ\ß@+e%«_.dU—XX÷BWBKÐr߈RbÅjÛÙ;3ˆüeDÆ°Aó©ÊÁô#­™+ø“ê ¶ÈÝ7Ya" öûÆæ*°IümõøÏ r2ƒœàñ“[YMB§“§t¥:¥;e‘F¶çMg`rr„É£—ú&u0g$Ñ +E3>”®¬…‘¥“´-Tj3{3¶¯¡ ó¤CoÑ]eID’«'p(À ÕQÇ=¾\µÕèÁç¨Åœ'ü4±¢žXåDSw¾cÿ<`ó Ü Ý3Ç.þÏ/qÁœžCïN5–$´@—Ì~Æñ_ +|êè¹j_k]·w dª•ÈâCÝý°`ò¯½lMý€Èíð²›e3°[¹æ¿WMøo¨­Vàß½VwZìNiý9 +jL- +endstream +endobj +7 0 obj +<< +/Type /Page +/Parent 493 0 R +/Resources 8 0 R +/Contents 9 0 R +/Rotate 90 +/StructParents 4 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +8 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +9 0 obj +<< /Length 1248 /Filter /FlateDecode >> +stream +H‰|VÛnÛ8}÷WÌSA‘¬ u3ŠŽÓ¤I¤›ºÝÍ>0ípC‹†HÕ›ý£ýËR¶ãdWFàÅ93gÎŒx:çó"˜/F!DaÆà÷C4 +¢¢2 +Òæ«Ñx¦3¨4îØ?]5£ñÅ×–z„¼r³ÍmF?É×bé%%çÇAFÀËÈ;¸\yQd-ùvÆ f6jûnð\B„ã¢j•?S½!Óz4ˆˆê +JÉ[Û‚[oÄ-Òïœ{9¾ûô®Zûÿšk}‚fJS<ó÷‰Ø½O\JåN÷€­¬{ë‹íÚÁÃù—‹©öþ˜_"Y‘ kAD“æg=ÉŽ +¤Ò±ñOŒG†n ãŠa¸qîÅDà 2x~ÄNݪõüˆ°'îda§ŸöïK7«~ŒGÊ’‚Ÿb²Ê¢Ø¹Ñ{Ñ;ÅcK.%aº›Ð>„}>1˜0ÌpÇ}†KêOrÚ2¤‘â¾\ö¨/: A¥Ûs¡=\Îÿ´/©“’} ]L£ôÀ8{ãwÜó“ %Ú"$„3 :ÃuÕ?~ôü ™À4Ú‚FyùÊÙ|Çy²åüvmMæĈ•WâÈ$ÜqÝõ¥Ñoˆ1ü4)LÆÙÎ$ÝŠ-¦˜†É@-ûïUAA-¬íÒI)ÎùXc_àýûÑøfvyáèÇÑéÙltêjîj/.\íõ†eA M‚°°µç¼ Ó}:É…TZ³öÙòŒof¯`¢×0ûQ#øCJƒ”Bî*Û¬q7E+ò œÛ|×V-[¶Z±Éá‚Ó¶eCèñ:B•AY`¸—YPì+}`/qõ9t™#7¬é¬2]Ëkxx†BŠæ¯˜JÃ[v×ÌQq|P.q0+;üÖ‰êIª¥¨‚Ï’£ža«£ÙÎ3òMwLÊg¨Tc˜hì¨Eø–ƒ÷üµj +ÜMoNÐè1´«ÒÚO2Í*®¿Íõ ¬¯¤ªž`ÉD7ªÅíU'ð)iØ’Ãåø6Ø©í­éQ'’üm2œä1/;¬µ¼ÕÀšzŒm”¯x]crV¶gt¶Â®ªÚ{¢ï½!ò³Wžì¥gX=ñNŠ/G& •zêÖ`, +'`ž×¢rIY¨Î¶þug4¼ÕpPÁÕt>ÏwÐäj>½˜`ZB4(5Ô G(‹$ >3#Tƒˆ-g5"Ú|-¤X/¤Zëìâÿ±ÌAT`á8;zf½²sØóf£€Õu‹ÌkfØW‡¼(ÉÀ6š8;^“3ÖÀ‡NcÖ-%ç—ç·(D¦Ÿ›ê±Uê4*fµêL‘e 7ï.¿Îª¥ÐH&,„äCîEáKºø_m|ÿtvYøŽ¹ÂzŸÀ#kë +k±.í¥£Éše‡•1 +ôá·Ä”IPÐWÄä/ĤΗ[óˆÁ¥¤ž ÁÅýø +Ŷ_ìËÑßÝ$ä +žr‹ßßxóK å+{szZ`…:ʇà¶=š”x‡HþV‰3Õ,dÇ›ŠO Áâ$ºÑ6ìG”…ËJb›¸'›Í&¨¶gL Úå½7äÛÑ&I³ø€ÿWrtמÿìÛÏ!1zsô¿s_Ñ­|øwj|¾b +endstream +endobj +10 0 obj +<< +/Type /Page +/Parent 493 0 R +/Resources 12 0 R +/Contents 13 0 R +/Rotate 90 +/Annots [ 11 0 R ] +/StructParents 5 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +11 0 obj +<< +/A << /URI (http://www.digilentinc.com/)/S /URI >> +/Type /Annot +/Subtype /Link +/Rect [ 161 223 187 433 ] +/C [ 0 0 0 ] +/Border [ 0 0 0 ] +/H /O +>> +endobj +12 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +13 0 obj +<< /Length 1023 /Filter /FlateDecode >> +stream +H‰ŒUÛnã6}×WÌCQP@Dó¦Ûb±@ì8é悱Ú]`ÑY¡5²dHò:ûIýË)ÉvÒUQI‘sÎÌ™jš8“$À!Y9 8£L€× + ”Oy<æÔlœÉ¬ kpÇüš¬t&W ëÆAÆ$™q„Û;ßÈ…nòµ+©"¥ë pò+|Þ¸œÓˆl ÝÏtÙB +³]ÓVý¸C;IrWà"«+oVu@mí*ÊIÕ…®!/íÜ»"m˜¾hí†xöåH^Õæy«›æ abçhsƒûÄLÌÞoº(*kÝÖÅS‡¾ê×–.®Î÷ÏäÚáŠFA$Q5Ê• !¹è4ƒ(¥Uão¦’0fe8®S WÐhWfÈêzŒ +S»ª]“ôE»Y™iŽo»ó…=Y'<&q¬Àó1Yq +nt^tp11â*Âüa¢ºùÄ` pæž +Ã&õ™Ö)ʨÐF¯r]t¬Ç:PTp¿·cƵLþ2¨oKÉB}+Ñ?jדÔ'aD§t€ë¬{ýìz! +ò¤¼'åaüÆÙpÐ\öšßo +dHÚ|ãÆ8¦<êf×½,Úæ]Ã÷e|)‚RõEˆ>æ%Åd`­»•îªÂ‡je°c[J"´ðsì±øøÑ™ÜÍ>_s>}r¦3gj{O +½'"Û{Ý€!ò€r" 22½ç +¥”õSiÜ!‹mZ·iéI˜O‹]ÚæU Ó*­ŸŒþH=¿›½¡oé­/°vðÄ$„¶áåK.ëj_ó"/_ÁtQ—Ý>è$}f~Ú²#ûýž>åë¼À&ÏËŒfØâ½q'zDƒ <-‹ÞúȽWÃ/q|Ò‘›G>• +”äT`4ÔhÈBŠ…Qkgõ&vyûIÄ¥–CÄ·Ø1„£È_gr!û©¢o™·°,ªìÏïš3‘bpû{ÒŒ¨¯F> +endobj +15 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +16 0 obj +<< /Length 1039 /Filter /FlateDecode >> +stream +H‰ŒUMoÛ8½ëWÌ) €H&©ï¢(`;M·Ý +$zh÷ H´­,¤¼Þ\úö_î”d;µvŽ#RÒÌ{oæq¼X9³ÕŠƒÕÚ¡À¨O9xöB!Œ|–˘qXíœÙRÅP(|¢?ªhœÙ‡Gå`¥!¬ +³b˜îà|%7BU7ðCÒ¸÷cnL®àãÎeÌOÉ÷Zô+ÑtÃr¯º¶¿wÊå¸)dë-[›¨“nè3ÒÚ„u-$TyŸÝHh¤/B¸ ¾û|o¥þÿ›PêÓdæó+>'z¡Ÿý"êº5ÑP֥;î÷nï?Ì•ûûê“ÃB?Ó«æ³0H`uck ÕÀRšjüÍ14 ”šK¨1>å(—û .' +–ˆßõ¨Ï ,ÌNº#ù³pS²ÖË +ïÚ÷k³* + cH–…àEج,M–…%ÀøL7$4¡•0öÅPãÃ=#Ã4õ+YÈËbŒXW¢¶¨G„>gQGuÖrõ‡~ÁŒ•ôKH1bÑIòÛäÂõ?"J#Dä(:Æ}aoo]/Á‚¼”õ ,ÉÎÈ&C̓¾æŸ¿ë” 骛á5¯áA¨½½Ywê• Žò£ ;IÉã!eØ›3FØ—›ÚØ°®ˆ¡]ëÜ™±OLú÷xÆîáí[gv·üxÔy÷ÎYÜ,…9{ápöxjΞ½ Dû øº;ˆòÑT‰fcOXƒšòz§ ŽXïï–gxüQÐ"øÅüØ.FSšŽ!U³©,ë¶x†Ç—¦Øʶi÷ +,ÐDp.i'G°­ ‰"†=ÓÞ05x^×p{ W ŦR + +MB”Ð6 +…Ä@”1A!¼¬’3tÎ)0M;ÉÂ(}˜ß:QÛ6õËP4Q?€ŽâÛ%T$«§}‡j4ԡ궟J‘—7勃¬º)½ñ”‹FB)Ö±ÃÇñl­¶BŠu+Å›‰ôÉu4`gjñ£€c117ŽeT½/ºªmäÝÐDÓÖkez¹ÂN¶ÁCgÅE[ŠS4târYŽn‚¼,%N}èZ(Ï»1Á"›`‘Fç†:q²uÔ½ˆ¾ƒ¢Ý=UMÞµ²Â©³Þ7–þ½"æõ~ªŒ^æ²ôè·¾Cw¿hߘù{tÇŸðätöÚ“@ÎYö1}»cŸ‡CÌe­XÒ}ÝÁSŽý¹²×ð4âzJ›qíÞ”¾šOúˆŒb†ËÙˆ¿þ¿¹ØÄ8 êrW­Ë‹­Ø 8´òͬzeW?÷RÈX窃oäÇ~0hÔ7wŠNp™NDüϲž™£î×Pf<@[{–å^jýcÁÍD9ýgL_oë +endstream +endobj +17 0 obj +<< +/Type /Page +/Parent 493 0 R +/Resources 24 0 R +/Contents 25 0 R +/Rotate 90 +/B [ 18 0 R 20 0 R 21 0 R 23 0 R 22 0 R 19 0 R ] +/StructParents 7 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +18 0 obj +<< +/T 500 0 R +/P 17 0 R +/R [ 346 649 367 683 ] +/V 19 0 R +/N 19 0 R +>> +endobj +19 0 obj +<< +/P 17 0 R +/R [ 238 648 259 683 ] +/V 18 0 R +/N 18 0 R +/T 500 0 R +>> +endobj +20 0 obj +<< +/T 501 0 R +/P 17 0 R +/R [ 194 242 253 273 ] +/V 20 0 R +/N 20 0 R +>> +endobj +21 0 obj +<< +/T 502 0 R +/P 17 0 R +/R [ 252 247 311 273 ] +/V 22 0 R +/N 22 0 R +>> +endobj +22 0 obj +<< +/P 17 0 R +/R [ 332 506 391 532 ] +/V 21 0 R +/N 21 0 R +/T 502 0 R +>> +endobj +23 0 obj +<< +/T 503 0 R +/P 17 0 R +/R [ 224 250 283 273 ] +/V 23 0 R +/N 23 0 R +>> +endobj +24 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +25 0 obj +<< /Length 2230 /Filter /FlateDecode >> +stream +H‰¼W[oÛ8~÷¯àÓB.j…w‰ƒÁ‰Ûmw²í6úP̃›È‰[_fmwÚ¿´ÿrÏ…”(EɤÀÌ"@Ì£C~<÷sx±˜œ-Z(±XM¤P²”ZÌøG +ëJU Té´Xl'gó£×Gààßñz79ûùJ‰ÛãHiÅâšV +à¾N>/šãúvjJ[ì¦3]úBL}ñ7ñj;Uª¬‹ß6M\5»“XŠù—ãi¿ˆK8gŠõTq}ØÏæ{:¦¶TÅž7›æ Ö;b‰7Óö¢ozß4Ó +ö~î.ßðÿ/Íñø`B!ÎáÌ?_àyÿh6›=æ ›F_Eš®ûóùqúëâõDÙ²öµ«•ÊšJ,^° +L²˜’¬ñ_ +GM!%ýX¼ãõÔÕeU4S]ÅnðE9ÉR₨Ãt¦ŠåçfZ+\®á+ïßÐꆄ˜i8‚3Î +uÄ`)X¥Ïи¶.-,«Ðú”‘ÒÇg¤9õCqqX‚-œiVëf÷vq`K­\<'ñØrñ 7”ŽB 7ˆN¹ Üj×Lg¦tÅo0E³¥=Ð×üùn:«À ?ˆöR/UUè [%››hó7¿!dUœÖÛi€ßåF¼kŽ_øãæt¨¡A}gB©}‚´1¨Ñ_–à  [¦ŽŠJìWˆ(”tEð/!ÇÞŠœœ]Î_½ròÓO“‹óÉåžM¹§kÊ=þµ.•0Ê”è‚-K#uTJö–›-îzy9‡ªh#JÙùULÙ«ù¿€÷VŸÀ3•_!åÅ¥øð«7ÀY‹‰1`k¡íkx—8eoh"Š¦J³å/ûÛõÔᜟsæqî¿7ŠÅ]#>ƒc¼F©n™Ÿ_½Ì]æYŽ#´px4[xŽÄÁðÞ<[¬ö‡naï¯cÁ‹5­-‡\énšëýM“Ïæ‘ÎÃL™à°‰S·z¿Ûn`—A‚@õ¯“ËŒx +}õ É¥Æãô°MTÀ7 C×®”ž¾T¶ÝÐBW¾l™ýÁbÕ4x†fFcjÊF†To¬Æ0máyC‚7Þ +=ø.6c*O¨röÉé…!IÿÑpÚ +n)FƵN(p–Õ˜0mÛ±º.³®ÉØe¬AQT»§sR÷ڪѨ®¦~¿¨å¸À‚^ÝÊCÁÔuly0xϹωBóv-`{¸¿»wû#M¦²  ê »úóU¼û. +’÷®y ­¨êѧÀ`’JÕŸŸÕ ›šFÊÍÃóSÖ‡zóSª­OM”‚®Ö<¹ëÐøli‹“boí„lƒÕgž$”,´2œ´=ÜßÝ“à‘Ðê£l(â¢D¢wߥç@þÁEã!f À°>by‡‰£Ëó<Ìþ_óÈ`€°ÞC=DƒpIÆ¢öHQ††ãI¡§e¼Z‘é1×0jî=VËÒxú¢² +ìs¥K—X±AzKÅ +X“Ô%%mT¡BÙã1r#OÃœåbàßBYI +°ƒÂ.ƒâ––ø‘›q³ó\Á¶– „BZ¾È±¼7ñ ó„(–7Å]Z¢L I—ÁÄ VÜ¡™×ÁÄ & ëhH@Öi|pµ@Öã,ÁÜÄ뀲®êPnmÈ5™9„ †V£!¤*Ê ôX?~(Fæ©úö;7 " ‹E‰žt‡WØ\@¡z·ô.°;{ @^ghC©òä +±è¨ÒôÐÖº‹e^gPµïÇ2o`¨ªIJ©Q7†ŠëÊ…Ãd 7p§…¬¬zPÚ%õhÕÁh(c!ƒ!6?ß,|êdóèp~äy3ÞÀk˜%}o8Å/.ÛÀ·ÐhY,jè|×T Ôé Bç Tè?P:*¼HÓ¸fp:…™/`´¼;Œ—³Å†5±X8ˆ4™Cäï_Æ`ù7 ›Jâ½9²ÃsO‚6µ¥Þ ©:óˆp~shoyþ@@І>ó¾Þ°O縱Oí2íÑÒÚc×ý¾ÆP”Ï 1zÞ×N{´¾†¶g/¿vˆŠsU‡×ƒç…©ÛG®³'ækÈž`ÈNÏ ÏÕ{€ªj\ù-ìN’íšo§ñÎÌÍð˜š!ÈÌÙÊ7§ÜÕ•¡æa”k©!ïjò¿ÂWʲ +endstream +endobj +26 0 obj +<< +/Type /Page +/Parent 493 0 R +/Resources 27 0 R +/Contents 28 0 R +/Rotate 90 +/StructParents 8 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +27 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +28 0 obj +<< /Length 854 /Filter /FlateDecode >> +stream +H‰tUËnÛ:Üë+Ϊ €ˆ&)êUb'}Ý-R]]¨2í°¡¥@’‘äþQÿ²‡¤d;EÃ)êÌÌyŒ¼,ƒEY +àPnœQ& ò2¡<^pš(÷ÁbÕ§P÷xb?}Ý‹·_8ìú“PÖnÅî!øN®T¯waL%iÂHД@˜’ð~rNsroÔ¸RͬýÐŽw`q1Ñ¡ÀMݵѪõ@CJÊIëQèÆÁ§ðH¤,Ó7¥Â Ÿ½;‘·ýý¨úþa +—óž»°gï”1­‹ö„Ùxôí¸wôðæóÛË>üQ~¸¤yšÇX5ÊeœAyåkOÕÀRºjüÆÜEZŽ¦+hFT(H+dH +#F¥ÛuaÄIu§ÂœlíRã]ÿ¼q« ) + Q‚Í*ò|’áUx\,lq%aÉ´>…c?1ÆRÜ`xäÒpMýN–]…e”£¶ZÏzšIOÆ8f#°–å/ûMÜ(Ù‡Pb“3p)<ø +£˜&¤· 1Q&â¾ö·oÃ(¼„#)IyV<›M5Çšº·ô>,ðZ¸QýÁß4CÿWÓOââ R¤¤‡ìK…ÍÀÚùòS‘C»µØ…%‘9økôØgxõ*X¬W﯀¯_Ë«U°tÞ““÷Dî¼ç/˜"O).2šIë½èd1¿trÈe³¦}ÀéìÐAªŒy‚^ïÑ[°×Ö8u‹ži­QlGPÌõzõLx.èø28MøE)9&'!s[G?õí=RlÔ¤n[m6Úk†+>ã:cÜ¥ÉY~J5sù-M[ßÁÍåÚnW5úµªÁdþXÌÈ´†ÈÇ4Îk¸DÙ½ê4GÕéáv¯]Ï`'3ØX"4ݘY«}Û==—œÊG>šþ4æ9•“`v42¹~TõaP°€oJ¯Ÿj£f³äDPv’;šA¸­Ì€ïÔ~èõ Ûæ,°F=b©†ªÃ_½k*3C›ÏÐæè•ü|Äqž}+Ü?Fƒ³l¦ÝéÔþ`*«c†«ø7—ä…íö˜âYõ¬cFŸ4Îǯ%Ô-f~>²é0­' +endstream +endobj +29 0 obj +<< +/Type /Page +/Parent 493 0 R +/Resources 30 0 R +/Contents 31 0 R +/Rotate 90 +/StructParents 9 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +30 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +31 0 obj +<< /Length 931 /Filter /FlateDecode >> +stream +H‰œ•ÑnÛ6†ïõçj €H&)J¢†¢@lwmºd)Ðö‚“éT«,¢Ô,}£¾åIËv‚¸X Ã)‰çãùùŸãyÌÊ’ƒrP`4¦"¡ Ò˜I`‹Så&˜-L•Á'öcª6˜½¾epg\@©€²r#†áîƒ÷d©M}&± mñ8#fä¸Ø„ŒÅ’l½év‹Ñ Ýî\ẄÔ!ÇIÕwÑ¢ó†>1#Ø4º‡ºuà:܃´%ý©u˜ã»Ÿð®·¿—Ú˜3 S8Ç5¿ãsböÙÝ4[í}³òÑ×»¹ÃÃoï^Ÿ›ðcù6`"–™LPµ˜‰$‡ré5H&5PJ§Æ7ŽKB©»Ëx«0]çD‡œX !#qј˜»YFŒ¨Ï:”dm‡5Þõï7n´r›ˆ8.) +QŠ‡UH9mÃïÂo€ñ™WšNáSØŸ'&Ci†\¹4Ü¡¾'ó^¡Œ×èu­O=ø@Äœ¥»uÔ®@-Ëì qê¬d_Â-¦,= +.¸~£Ã(‰Sb,!!ZaÒÎ+ûSå(ȯ°‡²”åÅ£Íæ“æÉNóë­ +™“¡Þ„^U7ÚŒþf3˜'ipL?MŠ£<›BŠ©1bŠç¢ð0Ð@w~¦½+ +èÖ6vá¬ÄsþÖØ;xñ"˜]-.–@ƒ—/ƒùrÌ]퉩ö¸tµç/˜"ç1ƒ„fqaK/šœ´óTn7C.Z3ôc5Ô] ·z°’#íÕÕâ‘=&î«£]ð‹¬Õ»"§GŽ¹\b–Y–c +6ZÁFoºþþ®:PU5nÆF +]?%úÍÐÇÀ‚b±ì€³@f…‡Ý„-`aU·±Âö†æ&Ïs9Ç’9poKÄÊÍK°óôú8²yì)†8ÁHѬrÓž³ŠFÓÐêzþ ++[ùª}$ë}=|ú? ¦'à(¬”û¯oìùa;¶óý&{“`¡ˆæ/Ë‘2Gßë«f4õý0?L±,ä3=·Ö‘RµúŽ=Áª> +endobj +33 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +34 0 obj +<< /Length 932 /Filter /FlateDecode >> +stream +H‰„•ÛnÛ8†ïõ,P@%“eIEQ ¶{HÛ A*l/ÚE H´Í,"Ý,öö-wHù´Q‚)ræãüüGžÁ¤(p(–Î"&  dñ xΣD@± &s3…ÊàŠû3ULÞå°20&¡¨üˆcº»à;Y(£W4Ž$ii(¢):%/à|C92²mÔ~¤Z %ÌwÆvû7pq1ÑTà¤ê»pÞ +‰lOeÄI7$lÕƒný|¡Gr¤oJÑ÷Þžà]ïþVƼÄ493Œù„ëÄ +ÜÚÕ4€}SÙ—û¹ÇûË÷g†þU| ¸Œ²i£j—q +ÅbÐ >¨Rz5þÆüC:ÆÇËQJÄÀ SÑE‚ÀÌÏzrRÞ*š‘¥j|;ìoü¨ö‡‹)s¼¬<ËÇN1€‹‰W–r(áxŸX cSœ`xèËð—úÌúe”£–Z5õä žì㘋@-‹¿Ý†(ñVr›°ª„'÷’K1$¿R4Œ£„Gˆ‰*±è)Ϋáõš†) +ò +ŽP¾‡ò4pØô y¼×üËÖ¥L‰Õšã³làJ™Ýð²±æ—2–ŸÄù¾Ì#¼~>ù4RnË•¢è<"á4q7ê.,%ÐùÙ’2"õ™ßb{]Âë×Áäb~¾¼yÌó`æÛNÚNd¾í†V'DÄ!fy”»® &ÚÛ)uç ~X|†yW+,è§VwNnĽ½˜?@ò‡Èc§ ‰<é` *-!õ +ÎŽn!—}Wa›`Ãü u|­š_ón£Ÿëú}5‚÷p÷!9ÃæÀÏKæ)® +~–ù¯¹:»€ÍÕj«»ÖŒâÇB`/<ˆÀ›œ·Võ˲Rˆ1U¯·Ž3‚‘#˜˜=]ÇW½jÑfµªš²/Ÿª% XÙ rº§Ðe×[Ø”[óÎRe£ÿU0kºêÜú¶k(Q›÷iìvv»³#üéãü»@ùdÞmnt[Ú®GU=![:’1Ag?#Û•Ziƒ»m]Zõ (áOöéof.”±ðNÿcw½úÅÏ×뻧-?Ž’"yÖ +'ÇÙ*ÝW;má¦+ûz¬[Ù > +endobj +36 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +37 0 obj +<< /Length 1278 /Filter /FlateDecode >> +stream +H‰¬WÛnÛ8}÷WÌSC#RÔ­N4N·—Ý AëÅ>$‹B±éD[Y2$¥Û~À~ÌþåIɺX2ŠE ¢.œ3sæÌ s¹œ.—,73˜C¶¹8 <ÊB`£‡åvvº(}X•øFý–«lvúö3ƒ‡r†GÀr¥W Íý=»%W²L,— +’Y6§>Ë'/àýÖbŒ†d—Êz%³ +bX<•U^?kÜç’Äâx³*r{‘CUa ÊHn ¦©, Éô+øhí¤BúCJ+Ào¿¶ày¡þþ&Ëò%š‰¼Æ=¿â{¢êÝ;™¦¹Þm‹tm¬oê{ +¿Ü¼}]Z.?̘ ¡ºÈe +`ye8p6JÍÆ¿·ºÄqôE(Œ1†Ëi@¤ÅI Dð µl‡r—ú®°lFâ¯Ò +ÉF-|j¾Oõj­°¹C=!"°=LV†Æ ã㧊\A¯YÂ>ŸŒãøxƒÛm†Nê-¹,b¤Qà¹IdjP[Ê™WïsÔärù—ú€zZJê#ŒÊc^ǸàÆø'iÙ.õH©\"c ÚÇû•yühÙò +ö ¬eAÔs6h8wkÎ?î”É€TÉÖŠð§ðI–OæaZ•ƒ08†ï¹QÚáš?mÜÉ]ü -TºH˜å¡¿L%, ë»åà¶üËëÎÎf§×‹÷WàÌ..f—W‹Ù¥.;Ñ”uÙ™ FÇ|Ê€{œ2]vv[]f©Ë Y{HÊ +õÿûnW>ËU•ä™âqß\/zج½/y.X(TOI +Ùè¶ÖyÒ¯š¤Ö p)‹¼nÒwE¾ÂÚj¾2¤º )×ñ»;²J¿¾,d)«;k`¥ôŒÞcœ@²˜¥®Ë½6UÆt— Ðç'ìäP‹¨(èîæín­bÛ°5¿ÚÌ­¼Ck—ÌödîcÓæ½–ÖêQf£dºtKÖéìUœ£ÌÎÎá1­æ̆~¹ã~qÐu'Ä>Ë­ÂÕR¡Ä+,<æ{ª?"̉s2ø‡R€­Ü~)ÖX•‘*&|wG5‰ÝŒ3ÞÍŸ‰4ÇP‹08Â;‡ÝNç +þΚOÐ/&ÂÄ.ÈÃqúEë”LË¡ª +8?ª Ðâ=‘ßÔÐJW8¼QЂÅÙz%DÿkÞHÉ÷ Fp‡êm5tyt¡Zqd0'lø2jø²ïø`Þd¿SYìhMbU••êVßâ"‰ïS ¦µL8ì;첊žÃ¬ãp;óÈ0½õYǽ œgÿŽâÔü¾gÔ–±³wÆÂñþ +)M".æƒäúý:B¡ÌÖÍ“âô¤Ôá`>:Š=Þh²ƒ=m'%˜H +,g$)?•‰aÂL¬ŠÏž‰Ã¡Pt&p1ÌvÀúxñü¤·Ž„ +õ†tXÅútŸLœp‚þУþ3ÔÄH&vÏ_‡Sv8uB}Ô6 Ù©ÊèOŒÐ=$¼uu*K#i>–Ÿpdõ›×ÍâxmDãÉ,jÒ-²m5býOøÛI²²‚ªe­‹Écc†¾jØ£ùÿ5¸an”ûøÓ—(Ê®?'G±FO­‚úÑQÀZÝ“É8“mc +endstream +endobj +38 0 obj +<< +/Type /Page +/Parent 495 0 R +/Resources 39 0 R +/Contents 40 0 R +/Rotate 90 +/StructParents 12 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +39 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +40 0 obj +<< /Length 929 /Filter /FlateDecode >> +stream +H‰tUÛnÛ8}×W úÐ’€E‹©Kš¨¶Ûvƒ­}HöA‘iG[Y2$¹u?iÿ²CR²ÃF€ˆ’sÎœ¹x¶ð¦‹…‹•X ÀwŸ¤b<žr¦,6ÞtÞF·xbþÚ¼ò¦¾sX·> ‹Ü®8ºûåÝ“[Ýk2I*ê  y 7”s–m©û•®:È`¾k»º·À¾ IAnò¦öçµsÔ5T2Njç°,uEeà =iƒôÖ4Æ»?Fðº1ÿÿÖm;A7)·øæ3ž³0g鲬íkØ”Kç}Õï-<¼ÿúámKÿ]|ò¸dI”„¨ã2Œaqë45PJ«ÆÿŸ†$ìGŒO†+XL4¤…9"D„Q?`‚ÀÌîês’ýÐ4!+³,Ðêî—vµ´$|0%e +¾Âd¥I2Ðp,.¦F\I5,¤ áO &"Üàs߆a“zOfM†2J|£W….êX’ ®úwyZ.þ3˜²¥d.aTŠ«#çR8çß4õC¦HkB¢3 :Â}îÌOÔQ+8€ò”Çé3²ñ yØkþek\Ƥ+64ÅoVÂ7ÝìÚ“0†¯Â´ý«Ÿu%Îå6[kŠ•ƒ § +ù +“°˜@mw+±õüÛë+\_{Ó»ùÇ[¼›ov;÷f¶íäÐv"±mç>#ª4mgბ &™y½y,ª¬«›Ãú®ó®¨«+XêU¶+;ø™•;Ýš, ‹wwógLøs&‡ $Ò†ƒ2±íûÓ4">²±ÍN|û°íš¥ۦαˊj}8bpŒ›`Vp1å¡–ÉRçõR_ +EÖNŒH˜{Bz2Ãå>×,Mcu\²dYþž´]Öt“Þ4ËI–瓼ù=1‘M¶ù¤hè &v ÔX1­iò¨×8–·ž]ð˜Åç%÷GÍGÉïQsê'¡Ä)ñ<é8«Øm—Y§AWÙc‰¦—ð¢Ú¿ÈUV¶CužR“¨áTÉù¬ˆ±ú¦«ö¨ãO¸~Oe÷‡V¬Rlãý67¶Wþ+cK•D[ÕHÝ=馅77æÂ}}‰¡ºÀë&90<é—pàõK Drü¨ö˜êѦ)θ=&þ`»@$:O$ä “Ÿ ðÇ^}¡¢ñ÷X_G²D‘B+N=!pîáñG€h9Ȥ +endstream +endobj +41 0 obj +<< +/Type /Page +/Parent 495 0 R +/Resources 42 0 R +/Contents 43 0 R +/Rotate 90 +/StructParents 13 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +42 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +43 0 obj +<< /Length 1211 /Filter /FlateDecode >> +stream +H‰¬VÛnã6}÷WÌSCCR¤.› °q¶{él ô!éƒV¦c5²dHJ“ýŽö'ú—R².v”EaÀ¢(ræÌ™3C^,fÇ‹…‹ÕŒg” p›©(Gœ*‹Íìx^ùTøÅüª$Ÿ¿¿áp_Ípc‰q4÷4»%—ºJïJ’;® >Ç'?ÀÇÃ9 +É6ÓíHç5Ä0¬ê¢+Üç‘Ôø’”…;/CuéHÊIÑÌ2]BšÛOðÅéiãé­×>ô΋ÒüÖUõ#š‰¼Å=?ãwbæÛe…ÝÝ8,³ec}Õ¾[÷ðÓõû·•óëâÓŒKú¡‡¬Q.½— +ÞŽ +¤Ò²ñ—À­aÌ>¤ññ)Æp +ˆv©`Ž|B—QAྕŽËIü ¬Ì0ÅÙf}fGK ÂŒ*)#p&+ +ÃŒE€‹cC®$Lí² ¡Ë'Ø/¸Ýµaؤޒ‹2F%îÑ«Tg×^’ +®Ú}Ìì@.¿™TY)™E•âj`\ŠÆøWí¸U¤2<¢c ÚÇ÷¤™^;n€„¼Î)oò  +vœ{-ç_¶Æd@êtãDøŒ3øª«Çf2««½0†¯¼¨íËŸ5î‡Ém|¯TB$ÜQˆ×3 ömå0"°–ßay]ÃééìøjþñØìü|vq9Ÿ]ز“»²¡-»æÑ A9ð€Q“ÇM㾯. d^l¾¥y\eŠQÝè¤N‹ü +Tu\kØÄÉ:͵Ébxw5áàc]ù ‰ ¤A ~ ­úý$²VUînhXq]Ç +=”i,Ój×ÉzGÃ>1€0t1¬"ìCaë¹MµuåwZ&I\éÂvÒ¥Q †êbÜ +q™}ïVòv¥Áh¥‡¤Õ¡fU½‹qÄáºð:øÒ +êµnÙÿ=Æœ|˦è÷^Ž]¬ç©Øƒ>ö§µÎÇ¡£\üà0ôç-uVcû`"@‰ž9¦Y‘ó©äÈ € +ËÏ)Ç6ÓÕ£>°(zm™C[YÃñ£½\aË`˜Õ»©ˆà4âÕ„Aþlqzåc~²ç̧ØB†ÛŠÓY¥÷)5f}˜¿ç +éß÷¦hཊUçKاRPí6õàN&”¦&ÙÖ²Kd×]š&Úæ9NSr‚rñ¢…“w³ìè¦äã¿ìÕÃî*;¯£îñ¼M`àLy>žÍ¹™ÅÉ;R`¦Ë +ÎÎß;çd×µuÊýQ¡H õAë-\Ï¡Ô•®Ç¬úTö‰ïi… Zƒ‰6ð1­‡ò9)¿B•Êœ©y7ËÌlÔN>énn‚êp ^Ùð¼ú_Z‰Ø¿Ç˜ÊÁY™KŠí$bº“D/ã“B™NÒ/ÿ­Ëš|šWõA—÷£Ùä=z¯¶þ|“¤ØºI±ü玳‰ØQ%áDìrœØÏM¯Òý;´›ŠíÕÍëdêÜç"4DÑ„`Ä8#Ó-÷ų‡@ZÞËâ)¯ wÎA×£¦Û“pk²åzžBÚ­TÇ·5ï¯& +Ší‡Ï Ó7ð†Ï8#£v> +endobj +45 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +46 0 obj +<< /Length 1183 /Filter /FlateDecode >> +stream +H‰¬WÉnÜF½ó+ +:ØMDlõÆÍZ€ÑÈvìD±aƒÃ„jIŒ)R )Hú¤üeª›ûˆT| {­zõjknœƒÍF‡Í¥Ã€3Êx͇ò)€Çœú67ÎÁº + ©pÇüUIî¼ÿÊáªrðc +6‰qwï|#gºJ¯\IÉ]OЀ€WðáÆåœFä6ÓíHç5la}WÕE»çxO’Ô8IÊÂ[ ºtå¤hf™.!Íí|r{EÚhúSk7ij?åEi~×Uµbb+¼óî30{¿ê,+ìíFa™]4Ò/Û¹Uï>¿_Uî_›W4 +"‰¬Q®d›³†Ù±TZ6þxUÆìG·h® !Ñ® ¬QC@¨ë1*œÚYézœlh7"—f˜âjs>³£ ÂŒúJÅàùè¬8Š: +Š†\E˜ß +TcBïO4†±'xݳfX§~#§åiTxG_¦:k´q ¨à~{™Èåæs€ú6”Ì!´ÊçþH¸ð/Úõ$õIe4H¢·ht€ó¤Y¾v½ y½RÞ*åa<vœË–óO·FdHêôÆñ»Íà‹®îšÅ¬®vÌh¾/ãÖ”#,Vx5"o·WÚÅÈAˆ„»¾q¥qXH °³K—¡•üÓë39çëgÀœ“çôlíœÚ´S]ډȦ]óAëx@9pÒØd7M.d]ÜüæÛº(S4ê«Nê´ÈßÀMZ%3¢ªË;»T? Ž·çë >ÅÒ—¡ˆ2(|RX"b`Ýbû°"÷×:o}ݱHyú‰Lô$v¹Åí*_#‡J ôðñ †v2Ìõüá"{„£c(ïòÃŽÄ]ðb~ 9ÂPŠqº`lM»ØH²­ôÔNC!ÆÁʆ„–Ô´üNäŽhNcÕ]!¸(îóº˜jcábZ-°ïîÑRÎ!²çÓ%?Ë U<¦q„¥Ê~9,èß–°^ä‚O#1â-è}ÊeëÓ=\f{p|‚~•2Æ\xØ&‰ñåkþúò~Æpö”£­nf¶º¤•™¥„ñêuqˆÆ{¬ö×p lŸ-Рž¥Á÷)ÔÅÍ$Òƒi¤Ã.-Š‡j§^2ö8Ž‚‹’Æ±ä³ xÆzÙëÏ‹z7FE$ÆÁ—å€d¾ÿ=ÜöLâã3 +±í5+øû pC~¤ê €x€ÂWL,7 ð!$ŠúZ—Õ” +ó¬´Þ?ï›…M{ÒŸð-ÃIâ£Úu—e»ÅË÷Çd/òÌÙ‚~dþ/™·óå\þßg +åa +endstream +endobj +47 0 obj +<< +/Type /Page +/Parent 495 0 R +/Resources 48 0 R +/Contents 49 0 R +/Rotate 90 +/StructParents 15 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +48 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +49 0 obj +<< /Length 1051 /Filter /FlateDecode >> +stream +H‰¤V]oÛ6}ׯ¸O+‰M I‘”Ô¤b»ëÚ-hÑØC³ͦS¯²dHâþ¤ýË]Q’e)Q2 ñC¼çÜsÏ¥Ò#­‘þ´–†øî·¼(ëÿتúÃÄ®ðÌï¸OêA½÷›Í²ÂnËlÕD_·s¿~zwUÑ¿’žP,2Q€ª1¡‚’E£AЩR:5þ•x4 œ»‡ª1>¤˜®d!±T’ +æˆ`£>g’ÀÌÍJê ’~³4"ëz¸ÁÕæýÌVŽ„/9ÓJÅàk,VE†EC@ȳZ\E¸îªIáXOL†sƒ<î»4\Q¿Y™¢Œ +ÏØõÆf +jïŤÐí9^Ÿ@-“ê˜vVª_¬´Ð'Á•l‚¶Ô˜&U›bÒçËfù+õCä5AE *Âx@6ì4ZÍ?îê!Ùo¶4ÆgšÁg[Ý7‹Ù¾¥!1}ÄmG:ý\p5!wé¥è¤HÕÈW× n¶¦œ€ ]ä·Ø^ŸàâÂ;»ž¿_÷./½ÙbîÍ\Û©®ídäÚ®y`vR2"Ð Kmçàyï'×]d^lÿÞäé¾(7˜Õ]î7Eþò¢Üâ|“WûòÞ­Uu%ÉÛëù€²9^R!UóÐX¡ëý^vÇ!<úŠ<|µy[ìNF&LxZèšò€<»›àÍå7yÂí”Q̱É𚊥¶¹Úu}–VÙw´ ª‹7PÞçç]5Æ8ÁÓ8Rbk +qt£œCºDƒ +a4q^ñW“8jG£±£©|ZÃv5ŒÖÆñU$1M·Î›õÝ~qSô4:ÈxX–¨"z±•ñ¹ôÌÓÑì'5LOõé©®\ØþQ´0m‚õG ?lí×PèIèpZc3E?èÝQA„ê¼{µ˜£wa¼Ñ%üŽš‘ÖúËú1Þ}ÐT9àÿ«ú–±ˆðä %‹Ÿ&©¤;Òô%3œo†53HÌmšngã{?=±‘Æ}1E9¬…`AнG½P®ºÏJ*8}ãÙóý5äŸÞChòó©: +>¡z+jÔ&êá¨}äP£5r›a·wKžR 7ãÁ%zâÛ4_SUj ©Kí–ŽôBw‹V­±Xx¼$VËsÑœž2/|þ³àjüˆ5þ2í2NÀGë*­Ý1=Ì‚ÜâoÈxØ1ñà|~â¢ÿg„* +endstream +endobj +50 0 obj +<< +/Type /Page +/Parent 495 0 R +/Resources 51 0 R +/Contents 52 0 R +/Rotate 90 +/StructParents 16 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +51 0 obj +<< +/ProcSet [ /PDF /Text /ImageC ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/XObject << /Im1 53 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +52 0 obj +<< /Length 597 /Filter /FlateDecode >> +stream +H‰\SÛnÛ0 }×Wði€YÑÕ—¡(Ð8]×nA»ÌÀŠ=®ÒeuâÌJ±oÚ_Ž’œd X¤$žC‘ó†ÌšF„fMHÁ…‚,-Œå²YIn4[2«}Ç“ðùnGf7_$<{‚BhºhI„ûEéÂùÍ3ÓÜÐËÏ)°œ¾Û-“’—tß»Ér»´P¿úÃ0íÀã4Ý0…N7Y=$ ÃÈ —tH€}ïFØìâܳ‘ L_cÞ}9“cørÞ¿E˜ŠÂÆ|ÄsŒpöÁõý£áØ?%ôõäGzxÿpsåÙ·æŽHÃ˼Ԩ—FÐ,’ú¨JÕø­0TS!âbÇ]‹å*^PÇõP#CN9ËWæÑY&iûâXI×ÁÜànºßGë)&‘)Á­1d«*Ëc)‹”€T³ ®¡Â +“J8½'#DŽ†g±Œø¨t>¶(£Á·Þ¸>±žûÀp%í'BjÙü¸­.aUVÚ¿ÀJà+Ç2Í-õAS×bÑ9ú]Úþβy'R9‘Ê¢ú'Ù⨹ž4¿ßÈ‚6[VáÚö°rþ5möÿ_ +Ë·ºšê@õ‹ày™ ÷í³cØ9˜"•Ìb¾yx°‚½5T‘¯q¼àâ‚Ì–õí¹¼$óEMæqìÌqìTÇ.-XR\"DÅuÆ.Ò‹s&©¡è¼ºX]-a?:ï°T¯—5ù‰¨E®¹¬*ì £ò0ÈlQp]ª ŽóíVÂb ŸÉ‰“æc +endstream +endobj +53 0 obj +<< /Type /XObject /Subtype /Image /Width 1050 /Height 565 /BitsPerComponent 8 +/ColorSpace 508 0 R /Length 40364 /Filter /FlateDecode >> +stream +H‰ì—lÇÇGê_H•üB$@T +DÔB¥iD*5Šä@ùeR;»PPSÒH•ÃJ9“ +⇈) $P "&•C1¿]ÀPš8¶RÛœñÿÄØ®ovöfgwgöf}çwúèôvvæÍ›ïÌÎÌ#‹Ã„±¬•¼ÑI~ßCþøˆüá!y3Ba6üóBf°Âåțњ‚ ‚ ¢É²GH’0ÿ:Él Ù!’sÇÌ)Y¨€·K:,rZAAˆ@6Á +žS0~ÛfÁ ¨“ÕlòZ‚ ¢&„ ‚ ÉŒ;›à82€Öi$™! +´Íh Ì«GAAd B³‰:y6ae¡hÑ@Éh°å³ëA9³n!‚ fÞD’B"Æ/ÀÿqãQüà•-¾AA§Ž„ß‘þ"šM°dÁ;¡d³ëA% ?¯AžL~Fú W6¿@ M(œÙDÂiAAAˆ+›8ý‰¯0›@AAÄ !Ç…_ `‰+w$Žl¢èò}Z3/dºÊ Á#²Ç3Õݳ¡°©ý»¼ßá¡Bw…åVl~èß!˜Ô‚°;ÈÄFÕ_l(î©a846™Ú0 t°™Vî).Ü +E|­(ÕR|2ñŽ¾&°äªz­AÑÄï¤Ädõ¡6ýµ +‹‡E­úEŸ˜ôa˜¨ùã×<~üv*sjvüŠæQGTÏ­'‚ÈyI„|%b*áH(èãüÛ&Pd7@aé‰Z2½’û <¦Œ’1c‹»p¥‘ŒÜJø>3ô/Žò~ÇìhH>„QQIñ²Ú¯“¾Eû?Ü%”!å\r™À¨ú8V€”—Š`f÷¹¶nû¿ØJ+ vñŠ"2£Ö6|c1xàn(QUoE©–â“£¤æDëk×’\¸K†mœ“{ +KNÕK›HÛö‘¼Û4×6»8MøÍñ)³÷€±|Ó¿ã×'&}&jþø5¿Z2ÌìøMZöÆÂòN8 *.×ÃA¡åCòûvÒ!òTbOÄT"Í µÝD^ ñ„"·µ?ýèà5wÀXQpŽnü …ýM¼Âñ]%ZÞïØ:ʽ vÍf2õ¼oWþ#4»¶QZÞÜÒE2ÿ# 2AQÅœ àyåº2z²¤K ŸýùéΚ™õ–rNúÚßð] +•­£Œj)>Jú˜h_š¤î'c>¶Ê +;Æèâ8÷¡y¸½WßUsêy0àBžÿ*^}ô;Õo…š?~ÍãÆo§îúdvüŠ&­“ZÞrð:{UR~ëõ?Ÿ¦B‚¦AÄ`ä ìÄT‚!&Ñl¢‰Ì»c&óoWÿïÜØ¿¯¿Ð}ãùb2»1ýÍá­»¼°¼«©ý!E—»ÉÒ&(„xLYsløguXý˜Û—ùH¯ñôh¾ÚP|¯ª¡—½›:<ð¥urv·ƒ(„gDøICÚ±§¢¢²¤W;‚d~Ä៩î¡o +þÁf%¢s¨ 6è¯ VèvåŽÊcÈ¢2:°îFLü͸D5Ù)vkþWêðÃќԽ¢Üʨ–¢‡î5é1¿ÒéfÒæêÑÅ\~°´ØÔÓ&l=èi"Æ“ZÐa—a{¹Ç‡ã l¾æé ò¼Sˆ–2:(!f¦=r[̎ܳ鞬˜‚ܧûss|z¨yB4w;‘vêý¹éh¢ªŸÄ³#=b¤3¥¹àIVã¹ï:­ÝpÚÂÆ>xêçdÒñ>Ì‚ O1Fš`~õ®T‘P˜ÙO( ­½Ëš¿˜q ßÈË­$–=ø—‡ÇN/£äTy¹Ê«oµÓ,`æeØÍ$eÜ^îÙÑ9YHáO†®ç¯æ䘰øĹêP'<¦­83eö0ÖîúÖídC =D2×V°:[þ^ osö´ƒØ] Nö¹–÷þI’vÑÖ5ëÝåíüµæ’ò[Î ááN%ç¯6ÁðÇÐ +öúÍå`³ !f2joÅ6ö‘3¿?í#*ø|¡XêÊ•jÈett¶y6:9SÓsáJ#ÄÌ­QØæ¬>ÆòM×Ta8²éÈ~·œ'Ž¥RFZY:¹kR5¿*YØè¶~&Ygù±+Á Ô—ëÁ CSÑ•YÌ.°¥²°·Sÿté™w™5³«OÝFÍÍkŒÛëŽAEá©.¨ ½•Í¼•NGîÙtO–÷0áEFEehâû +ûçæþôâÕ\±÷ZšÛ5?PËßN[}é™IQ)²@ŠÏM)Ò«M?cÍcíöpÏ45Ï ;4÷îHìÂÔ|š 9F=LQóŸ­‹jž^½úP‡Ã¦ºÜ&uâî”f}nvm5ÏAwý$ž–íº5wÏkËÈ~÷¤mÁÛ}]¼7ãwų–.9qcÐØ­îÀIr¢ÙD ˆÈ +^o©‘ÕJ´Qæ5›,4/)£ƒ$­’—[;‰ŽýòuÓ¦ço3YÙ‰þ`W$Ã7YÝyb9\Ø|º¦·©ý*õ íÕ”ó'»ª±G0hò2±ÈáĪ3ù¤Y'õ`Õíf!«?nyá˜c\ªÂ-÷á¼kn(éb½˜…£¶Ca¸­‡Å¯ÂmÝÝÀ—+dL¶Tw$MçsvxýQÛÅÏÏ…øé¡æ_óØNdŸ¶äsëën–ܳã}Ĉ3¥³àM #˜8 زüÏ‚ O1F²0~`Dì …˜JDX6‘}du’ #­0.íak¢»ßÜF3×XÐfí$þmàƒ¯Z#쇒ôjîVtNë+ÊáWxªkð/ö‘¡ëYTÿ’®¡¦ýUÄõƒ:?Òñ*Ëß[®†äYÙ FZÞ9‡Û…ûºŒ±_‚ÿ•ëÊX`¼‚uÉ|§#=tT®bØvώȽ¥¶²*Y+·zxM8,ÎDE1Úm©2ªÊÒÉÕ_Ÿ#ÕYUÍöjy{ÍÍ°wÿ³ +l_šHBzîS°¥²èk¢©’Å +KOÔB“Uï•ÂÕE§£x&HZ_üÜÄþé¡æ Ñ<¦ýÏÍá'¢88¤ª&åìx1qÎxßÇŽ @æµ#IB4S°í–Ñ$BL%"<›`@N‘Õ¹èÓn(ŸñFɬ%‡ÁX<ËÊk'ño“·:y§ƒÒK¸OP“!ZN?ùŒÌ©sÇ@$vM¯ +ÑW¼¦UG@l(ïÚ³ü•-¼Äz•vÑVm©5ü”ÑA2¹ÌæGçè¥Î?$£w¨\‰¶jȪȽ¥.(íVsr([ |r–&;c§ê.YCGü[¦Œª²trý†çÆÑD%²Îò£sêrÞùíÝ|%ëh¢³2¥u¤²èk¢©gÜfz«©¾ÕF›L.Óé(ž ’×~nTä!ùðÏù§¯ært5j:l‡UGžû+ռ濆æ“Êt:ÒÆc˜6{‘ZóIeºN\¶ùyÆEVø³Õ_Ô\ÕÖRÀçpüŽA§Y6 +±–ätS¼E€ê:cO«ü¾ž>þ`.$Ý€µ“du7µ?â¶X®²«Ba?í#8jn4“¹!æ3&¢ïWo¡×¸ OßIoÅë7—“¡AGÍìOiUï•B4·¼ìOÎ>`…Ž1z‡´÷›0+ –>.½,f³:ÇîÃãŽ=´á‚ÑÏéZº·ƒ8æÑöãÝ Ê••jÈ¢y±ô>Üèì̼ʤ€Ø+ˆ+[=¾r“wÇ +÷¹æ^Ò†lŒ‹W©VŽTÕR”N®ÇšTͯjºYäte"ë,¿/¯š«+®IÑ +:š@94ç•Í…¤Û[o6æèæÙÍ4¶p{/]«#6kv¤Œ÷& Úìsc³À¦FüôPóǯ¹c‰€ãsÓüZ=4L²ÙqïÉGŒ{aGd ¾ª"’´(²‰ˆ‘P8Jh6±ôYÚCÉ}X¢û-½¼Á¾Éź{ÐëeF­^{à:D·#{¹Ô~C÷®W—%‚`oÛwÅÌ_bñöË.Ô®£ŠãûUÈ‹„ ! ©/RX*6¬ÄBb% rr#%‘|øù`,øØÑ”JÓ)‘@*„`®”`0 +¡ ñ¶ 1 +Fn$›$Mjn›»·³Ïì3gÎ|í53û㜳ÿ‹‡}æì³fÍš™5óë´ê§Ã'¯ñÆŸ[)ÚŸ:­¿¹ÿ7ÿ`‘³¯Ë+7~ñ5þkdÃdLõ|ò™S¼ñ³¯”×xJH.¥¼å•WÙ¯?;yÿקþ˾òÜQž=žÌ"·_øƒâç·çÉ“Âb`]Û\)Q‡ìHZ?¸ûæÂeþ÷sÿ\{â{gy´ìùÂ_o&Ÿ92zóû˵ñÔé|  ø¿~wövÑïç[ÃþȾ¾õÎ +>Æ•cËŒm)'׶>mókœn¾<ØDÿä$`ù‰Y>‹ÛÅÆ—é9aÃ\þÏöõÖý¬ô?\Hfœi±åD”×Zb;}yeµšV4bž)õaìýÁv+"a)ý©ºõóös®Ôwúv“sKÁ0ÙšCM¶1zØæUžf„$Yô±džìË +öØt¸¨;Wʯ;g(kä_Ù3ƒ?ïX.*ä×.ªíÆçÍ Åö¿Ïì“=³–½ ÙaåO¼#ƗΈÆQ +ä-O¿]DÎÇ5w{ÔÈÇ.7òPõÞ½> €?°DÉɹ~^\ûúÏñgvî°PÓ;Ë\])Q™†ìJš6ö'~_þHÏžÅ9»ŒÖ{ÿbî0ä?2>w´üª¯GflKÑ8¹¶õi›_‰ÑâáÊë6`ù‰Y2ExÄœ°aò6@y®m¸ÓR¹gÃÖË€œybGô`tlïóíÆ#™»­n=ä¼ýœ+5ÄäĵÝäÜV¡Öü™œ½&ÛŽcØÆUdö¬a~5yá£dïÇÉ’kÉÁ¬àÐZòÃlŒ²=/Ù/Ñùj¬•­G×X|íèʼn­ —²[¬%–E´ß[)Nº®ëœÖ2“[,‰>@]~Ž°¢ý»êtr‚éUÎË^¢7K]~&6QÐZVݳëÃBM0ö=*ÔãКAM¸ŬÀìý›Ù¯þx³(€O.t™Ÿd¯¾y%½ó¿±h{½ûÀ:§ÍÌ0Ï&F¨¿Ï6–Ÿ%ì'¥ý»êÔ7˜æªzû=vÔi½j¢¥‹}'³Ó.cùœ­¡Ì051¿ZÀÅÞUUS0f#t)ÑyüµÃJߦÃÉæ…î#q°ûAáÆ—§#Ú¾e&æn€ À‹º®â3z±ï ä€^ñí{ÉÜÝ&+^¸_j +Sœ½›ü½ÀÆž5`–Ù¹’ì¼™|çV2—&s÷’ù»%…²0‰¡Áþ»{µ`€…ç0Ðy}u±å½ä«žy¿dÛrɳW“m×’gÿ]²ý_£gû_¶^3ÂÓï‚Bh +#[†°—ùûâ€^-€%½q‚É=­ó€×‰Ý &F¸F˜ŸŸO5[\\ÔEç&®öíÛ—k¶´´´iÓ¦óçÏË‚‚î™ý«¡˜›ó ×!¶&·š`—–õë×'³©‰dÜÒáU'æ£ûT~ +îE~?>NJüÅ¿Ì$$¤…Ï”8Z9”.&'è9²š8¹í9”ñ–¿¼›=ù/ +lqqÑ­&Œž#Õñ×x5ÑÍõ3Þi'íµ„T» ŽÊÑÅ„ä@Vo˶|«ToŸË’ +ß±c{¾~ýº—šà-¢==*ãˉdr/^Îõ{£-NÇCp/Ž¾éRF<^£Ãàhƒ'”Ò¥ÓȨôŒá9úu䧖•ãž[xmâPŸxüÞÒÒEM(·ãu1õ¼SÙnhÿtç©vÓ³ù7>»P¼9ÆB Ã7=™îLR¢™P¯„TvŸŕ猪´„­Û†²Å“€Hd5±r=ûå«Ù¡³‹KÙö]Ù;JeQ©&Œ-ò¥Q¹ÐVbóiôï;dÛ½Ñx… ëK²íâG Ñ™à{µ‡Ö\B*;Uz÷ +ÆØ—mUg/xå#t,Îø…Œ¬&˜?‘mÞ–ýéÏÙ§¾\J‰x5•Íg-þ+ï¶^‚ï„Æø•gwÏÁ÷j‰ À7!ÄN#5Å© âT´Œ¢&NŸÉ¶ïÊÞ8–=·»~5Qïm¼.µâˆ3ø¶V‹šðºWÓ£ªQM4'¯èF懆W®êZ9Á6p€ZPÔ³SoeoI‰H5Á¸Ñ£JÆMoÔý{ÚvT\5¤&Ü}ÒóIwïÆÜ:h!!ŽNãCrO±ž½/÷”Õ²rãµM.@›èjâÌÙlÛw=Ô¨\/X3è]Mè5Ñ4¸‚°lÐ9\#ÌÏϧv»|ù2Ô@k„„`P™ÜÓ:SJƒÁ`0 ƒÁ`Avâø k5ƒÁ`0 ƒÁ¦ÕÒW`z9qüJ´+¹Ç&.+øòŸ`òQÔ +4**0Âbqø¦X*€éAW) +4**0‚Ã7å>3óôm¼Mä=ÇüÕå§éñ¶“Õ´ßmZ6fqÖ²@W(ëAoÄ]ÐNÉí|¤`éóá[}+}}oy«e—q5Q‹Ÿ¦ÇÛNVÓ~´iÙ˜MÄÉ|þèà0|pçÔD]»jtEŸߺè[éëÛx›È›Øe¼%ø³.?M·¬¦ý.hÓ²1›S9¬kcÒÀ ¨‰zwA yëOE^ä=>|ë¢o¥¯oãm"oòŽ ûL%5ï§éñ¶“Õ´ßmZ6&ÔÄ —Dã³5Qã.h!oý©¨À‹œ|ø&«% /o¶Hbb‹qeܬQ§Hr¶aô/éÙáÜWö*½Én½ÆK 52ÂdÝK Š+b0ÄÀjÏ›x3ùPMÄûqlʬy­›O>¹ú‚‘{¡4Ùƒñ¹’†Š¡ï–©Lø¤áXÁi„š˜Ó¥A嬵¯&ÄQ‰wP¸(AúJÞâ«Ô0’û¨ ½tÔr†Êø³Û'ûõ/­s¼Cqbó©:þkSÆb+ßc¹[YV؜ی;É¿¹ŽS9dú­ØQ”jª<|ßñòú_ª'BÅ® ÆKéÔž7yÇ…}¦’šˆ÷#o9Q +© Ý­|¬ûÊF¹—/—·ÍX£¯fihjÀT“G«‰<îÊaì(^MÐOvãÉåu–y©‰œß«³ +ò§Ãíj‚r"Ĩ ÷¿* [„¬Dç’©,ûzGò•L—aQçMDÂ[øå™B>.êò#o‡±´7¦&dϺvqúö’wª&xc@¢ˆ„%|Ò°ª‰”0~BML‹u«&(»ÏXUè‡ò/?éx¿ò”F-f1¯ª5ŒäÑj"ìêüBJSîŒ/ëC㟕®jB gÌõ@DðvžíJ5aö3®&(ãWúÔ~‡±È=^Ù¹ñæã¥&ôñ†© c‰ö•MäMÞqbtJ—’쇈âGÞúÖ¦opÊ:Ñ}v®&䙥ߢDŒLy¦ AYÊ— +H¸9x)H¯ë—À¡ÇY.<‹ €š˜ëPMPO|‚š ª’J5A/›¶³Iü¼³Æö—ݼBõÐò85áµåóB¿«Ðs]jƒn1jB·Q¡È6Èa¸;ÒÎüÐ(B‘ÈQÉA:Š¹[MpçÜô_‰7"ÃHƒÔ„L>~7£ßeo©tíÔÓHÌ›o¡ª€ÁJ%ù ú¬åýS÷cÜÊJ«Ìq軞½)ŸËâ+åØŠWÊ⤬1ã*•¿ÊÞ1‡*…¡GEºäQ4EÀ”’9.J,ûÊÃjbB¬+5A¿Bðò¢¬Yý(ÿÒw“oÍÔ7{®U³€5Ú_K‡‡=N¯qž /×fÕ„t^(Ÿ¨ þš>þÉTú9È¥ÿäžW‡Ÿ2Ÿè…4^Am7ÛˆÜãMµÛ²ì0¿°UFhïPM(ìÊ #oh +åMÞq|°ÂObºêçšXH%5!ûoŽ Áqwønpâ:©¼Ò¥„Þ ½ )Nè‹AI‘¾JGIã—“*AÑŽšpCQ=©´=åFekTæЪ&ò‘”`U—^ñ,Õ× ÔÄ„X'j¢XŸÎ BŘ+ÿZ'ï#!%|ýØÎz6 &@‡ä-«‰Ü,%¼så +Ÿ"R§š­H5a°”jÂ]v~„š .j>¸ˆJ¥X¼šà]ÈõÊWM¨ƒª‰tx5rßäŽäqŨ‰TÚ#"N噘7±Ëòáõ^V©IJä’X'Qñ“2ù¦ø4JŒrS ³*öEååßwèeŸ•3[¹êRZA³-ŠÕ9,ÒÄ%J4‘]ÐÄI¡¾Ù‡ÐÄGÄ%Ì4±êˆ8úÞïÜžéa5Ë}}«öžÉÁwµß™&–ø H}\"…»«ý)Ñ„äˆNšH»çC +:ƒÀô•7ÐD&Î'JÌAŒ¯;¤ô.9»BIiDúx]’-GÇ`-MÄ£4á ÉOhHIÌìµ2oÑçMî¸y°’&æòןf»%,„ ¡K2Ž¼~-31%Î÷¦4!WlÕN×׉²å猷êk5„&ª>Ùr ñBý\¥™ã±ž&¢£h¢Ô“Nš°#O)H˜ÌìÙž–¤1¡‰3(EåÅõÓÄGĀꗴ.ÔîqÒ½ŸÍÛs¾õJR'PÂ~úA8k¿3Møo”yNj=šþ¬1ˆÛ‹&²úΊ?3åS^Šóú¢‰ì·uµ«ò$‘©T(QÎ. M„PáÔª¥‰x¼Kš°4¦<œkóŸè©ôµç- Ä¥÷’&Ü'J̼ùQòœ  —ÿÜe¯%hDq¾7E²·¦‰Å­ãhÂ×ÐevfÂ*è[i"ÛÆ wKšÈ¼bóœgç§6í)ÑD´\u¥1¡‰3(E¹å³o­™&ÞAJÌ®ù .B ’æ8:JôÓ„K€Â5¡„ƒ&pÁ~gšèF 'hBùÖôÄ …£hb½)+NãÌ(1Ó„ýuËœ$›Ã„[ú¡”½¥dQâÑ@ýó®K‡³1Tæ͉«–&¼Hï%MH”øxÅ?~’AB—|¢õî¾t83M¤»Ìþ +¢€ó³– U/ÚÕÓ„\òSni:sî|®ÒÌñØDékÛ˜«¨w/ÛÛ¨0oÃÂÈ®.ýoš8ƒ²4á¾Îœì[k£‰pÞwßž3ùšƒå½¤º©¤'ŽŽUß)½‡ò ;·U;Lhgíw¦‰n”p*MÈ +«¡V{"›Pâ¬ÒDª6š³ýúŠÚ/t5Yòø’ÝS%&\!µh ‰Å—4±šÿ¤Ifš7FÍçÍ-O~9äZšp"íhB6'§[²$#¯ÿöÇï­VµO Cö9KAÑ"¬z2`\¶>»aIËy0ÒD¨–]ö>Èþû»|+M8±•d?k'|¸W÷KÕ+ËÏ$4qM•h¢´Úh">C"”¨¡‰× *éŒ#¿MnùÁ²…qge³¦ªý[û~‚ý¾4±šÀ[úüMiI«ýÑi"½ÌŠÁ3q¾Tµµåy•f/á°ê¤‰ìég<óf§‰lžVuDëóJªæMî¸y°M|”ÿï÷,h8A2Ž_¢D((á–Ðá–4¡ÌíkMD1_‡Ò„ø0Š&2ÇcMdWZÃÆ”9¼n㜇n”ºm9ŽÚržìQ/cBgÐ4½ýùëЉ®JúãD(¡ì/efŒ;k¾¨Jº¢VjÁO°¯¡‰ªã}‹!Îv4áEêeì•NY5Є”ì[M8‘J…’NšÈFŽÎÃRüÒ¼5ÓDÚ™0@K6š·0ÿ•ÞG4ñŽ–Å4¾Mã¸%Ü4¢k—Є+d˜¯ +hÂ%@Që4VivN,.%í–åšÍ²ë¶aÂÒDº}ìÙŽ}a„#N¾¾÷îÈ-hâ$Úš&²gÅ{Mt „ë ’Qq¢“§´¹”é5î>™ð4 4³ö5ßóxKl °JÆÎEµ¡J]­­f¡‰Ò]ãy˜±é|ž.ú‡`A‰-æMî8/ð𭔘~gИƒ„·ÿçÇO%V·†Œ“ö6Ík÷×ùiÂåðÁ²¶p´Úruã„÷÷SþfUõʲž—Dº0JåÐÄI´)M(EH’Mgk¾Ïµ”hŽB¡ÐŽU;krH~Œ3uãÈþš41Ê«—Å%šP\<û¸ük¯Þ«Î¤Åsõ<Ôæí3ŵ Ù>@Ë·oø¼…!øenæ”-kFqJ”"+¿‹}ñ9ÏMÔzŸä¶“&Üqø`éUVÇNøðW–ué.•C'Ñv4Ñ÷*«Ké’ýë?*N%Â-#Jw–[¦= +³÷¨ÛíŸMC|•ølûw¡a¼Í1éó&w\öWÜÄÑ„ï@ WøŠõû*4q_å Ú¢ŸÐÄI´MÜÛÊxÃÜZæs‡y{ΉŠ«ìüñå§}Oïóv™7§ýÙßQq¶ï>³êž} ]ecB7Ö„Ó»°š» v˜·çœ¨¸ÊþÁßQ~ÚÑ÷´ñn1orǵý:Aýq¶ï>³êž} ]ecB7Öôj +M Ü;ÌÛsNT\eÿàï(?íè{Úx·˜·°Ë|ø/šè³õx÷™U÷ìí*s#š˜’R|QC£v4ò“?¾£ü´£ïiãÝbÞ†ì2Iq¶ï>³êž} ]ecnÑO.®+®ä³šÀÚ?øã;ÊO;úž6Þ-æíÀ¯vV[wŸYuÏ>Ю²1¯ÒO|”Y!gž·çœ¨¸ÊOþøbŒ¯nhcŒw3'*ÎzZ³£kŒ1>¿#šà@Ããí̉Š1ÆøfŽhcŒñv†&pêiU`Œ1ÆcŒqƒ=B!„B5Éý÷?ãC,7àÏÿøûëõ:¼KcŒ1Æãþí×_tÿåÏj‹ M`Œ1Æc|oO¼àËš( ЄRmR +ÐÆcŒ1Æ÷öL¥»ML•³–Õ‚¡ Œ1ÆßÆ!´Ô”á»zšX­&7¬M`Œ1ÆøºVò%ŒŸé,MD9J¯O…Êó541Æã{{Jf0Æ‘Ý’&^_’'¢ Y-Ôü¹&ŽlÅ믿;º !„ÐS”¥‰£;…Ðå5eû[Ó„„¤J =Õð;PÌ.¢[QyT?Ü’•W±B %Uí–ú Ñ „zˆJ4ñÛ¯¿`ŒÛ{`Ì-t•~"„Bû(JWhâõ¥´Ú|Ý••’lëiÀR÷V›¶w)\ƒØè}hâN(B¡‹*JWšp…Ä;ºVJš+»dûVB†lCU]ÂØè}hÂB!„¢tE§‰à!€àÖ m±2úSJ¯Òd;yTRŠ/ê}h”@!„ÐáŠÒ•ýiB¿\e¡ cÓÛ½Mø£Q @!„P”®(4‘ÍäuvPh¢=v  K ÆïC B!„W”®(4á¾!Mã£Âˆ#Ò[–’´é4TZ!ûT¶¡ˆzV»TjãÔûЄ?% +„BEéŠNw2h€·ó>4!SúyÛF%RÙòá(ÚÚZ–†öéI¿^8ºªv{§W‘ÜSiyUœ¡ýº€8d„¢(]&0î÷>4á—€¥úYXˆ c# X=v†$ +AŽJfjyál|ATšŠ¹Ü8Q%ÙZ‡¯+BwU”®<‡&0ÞÎûЄ„¤J =Õð;KfG2a}ÈFÙE©<«Ðœ§<_•ÝMYYpT(/ÒÊQ:§–â”ÌŽ(;KÊ{I+ûdªW'Êò~ÓÎd¯}îÕDÕ,)+Û6‡lÙÊiØìS+ý/¬+ïMë0ÜRÖ¡Þh(©j7”@辊Òhã~ïC~‰ +&¤wCzÓƒ²­YQ%šÕ¬L©ŸUšêõ˜–$ù]3Éèäu)û²TêÛc¾ëäæG/ú«±LQ)¾q=¬.'K‡Ó.­.†,P¤d‘"‰eŒ–¯õ?·®Œë°TÇÞhD +ëÿ]M t_EéJ ‰écÜìhBÁŸKøíåö__Πü2ûZ¥ ¥~©²ò×XÒ 9e’–·V¯³WKjc¾ëˆd¸™òü?-RÞ»ž[®^bÕz³\D…ʺUæ­Š&Òk=«_co4{Qµþß%ÐB÷U–&¦DcÜé­i‹Ä~zVÁ„p·TÞó[Ê k³ÖU:¨­¿MXnmAá–1-HÊÝlecL½‰MibuÝiBoè~4alš@èQÊÒÄÑBèÚš&þÏ~e¹®â0¿³‡»Óùê¥eC½†ÞõB`ËØNÕññqÙq§UŠ>„¿mLŸ;‡ªÅjµ^­NÌ2_DÉi‰Ôͤµ[ÞgwPæ/´ qBýÜ,ïCëã„MX¾µnç/Ö¹ß&tZkÝWÈbñ›€p–M¼>9ç4ÚúK¼£JCG-vãû,¿f–]VÕp,C6v¼m"d}\«ÈE1jë Å\7nY¸cþËÖ0ÄMÄÑK¸7Þ6¡«Á+CV|è¼â—ªÕ{‹§†<=mûd壇° XÂM€o›ûDà¨óBrcjÅó¼¸>ö·n"Ûõó» +«²‰8:š?fûùùÏ»ê¸<Þ6ñå*‡›€!›%‰ÃûýNçø1±‰×_ì,‘:‰x-.}bÜžŠ·M„Õ*P\~^`!]›ˆ‘Ö¡gNÖÛD<Çx +îü÷§7ùâK¤N’KAëBLh¬Œ·M °‹Mˆ u›ØT¢JZq`kÝMådúÆÚàÁxÛDX­8ÙDô…÷ÿ‡º6!öðyoßšllõ-6QÌÙ’‹»äC¢ƒÀâm¨,ÇÉ&b’d +õP²Œ!›hµýbß^dãs6¡Ïlm¡x<o›«U¡£M¼>Ùâõ9="YC±]òKw-šBkfkš¢!­HW"Z¶"n­ <o›@%`9F›¨iÅ©-NQ%Ëð° åZÒ'ö$£†M€·M„Õ*P€“MDˆÊGÒÇä¹)ˆ­»E”%EN%I·ñbçðT¼m"oéÓÛUDrºqTâj¼þý/×ù‡àd©QIN‘Îñc:oôåõEá ­H1*•$ÝJBf +‡lOÅÛ&§ ­~ÑãÓgH,læãÖéXU|3›hJÚŸO +›Èµà©xÛĦÛ9W†œn|ú¼–­‹Îéí£SƒoÚÚz.UoÍ÷Â&` ]›˜ãe`ÿ.WÆÛ&§>äM~-züŽB¡ôÒy§}~mܱëÆÝàXœl¼mBчâÜÕWU"u¶ù¡ÇBÉߺöÞ·˜3”Jµ#'€M8ám!kìãZE¶ÑV|§P,Aiž]m¢ËÜŽ];À&àšœf1³GZ€ËâmŠ>¤È†%~/•—´‰¸—}»Q;(nÊhC%LpšM‰»ÿH¬­ +`o›ûDà¨óBR«¼E°¸>¡˜ÑùuñúPqkÆT37`æ4›ˆ™-Ó¢8ÔÁ÷û,ãè¢ñ¶‰/W‰/Ç¢ §™|3§Ù„Ý&FkS槡ք"~È3Ñw´¬Õ'$væ]uà7ö=¢ âmaµJ '“ìÀÀi63[¦å6ñÎøùËPyFY]>Á–j¢ýîjB>êñõí|Œö%ÓOéšxÛ*Ë9Í&Œl6 +âõ'Ä#Fâõÿƒ_f–UØÄ5ñ¶‰°Z% +8Í&bfË´Â&ò‹`¶‰WFÉ?†¿]ëv.ºÙbš˜§XXÌgê©òíŠ £6ÑÊÖºßVZãcìÞµ¾µ¸‘RØ]ð¶ T–sšM)lâý?>‚½òZ¬éöÛÝ<­9-0–$ÎÑïº0e_Kß>ñ•»V¶o›°ØDX­œf1s÷*›ˆÇ ;lBo¶[}²]êÉ­ªŒ%µ’w1ÚD7óÐcìæQn +›˜¶ T–sšM)l¢`Ú&Zs/$7Жöz¼H¯Šbh(O½ª¾‘bßpîýèœfFžjá Yéd.•sg=k 8 +o›Ÿú7ù¯J.ôø}…¢¾.ÞŸó_§ ¡ã÷rû€/ç4›ˆ™-ÓêÎöj½.€o›Pô¡8o£ºtLœ×’êÏÏAú +9|Ón~ã¾¢(–Ü/€Îi6ðmxÛDÈ{]¶ÑVü¦BÑê®WÖ4RÀQ61]*À~N³‰˜Ù#m¢ÕƒqprL¿–ÁÓ&}ÛÑ„%~;•×ë®EÐçë×µb„Áû- `”¢]ñ³ ;±€ ¥°åÝ&dz¹7ù×Ù&Â>8ê¼–¢µÞ‚9gV24+¯¾ÎçÔ»Øïwùï9<ž¢]ñ³‰˜Ù83ŠC|¿ßÉ2Ä%Ë»MŽgsïü¯³M  s¦LÀ×R´+~6aG· ±¼¼ÑRZ2åè®zýegžó½¤4z—‡vÈãx soò¯³M„Õ*P\œå?æð +íʱ6³µeÕfÑ ¶`¼þùK]ឆÓÒñæ£GùÂi-´²|zÞC›N">“¹÷ÿ×Ù&P XNÑ®nCñD²‰¨¯?ÿDÒõ&ÇÚÄP‡¹¤1¾ M\ÿ¡í/ûú6V«BE»re›Hñ`¶‰×_êy|®1neÛ"â.EÎV©â¨¸\œS)In÷Ðĵâ…RF½Ñ+£^8÷þÿ:Û*Ë)Ú•KÙD¼ˆçíz›PW(6œ­ˆØ.êqÝm¶²)ín«Ùî–jiÚõlÝNûF­UóMto¼νÿ¿Î6V«BE»âa¯O¶x}Nlâ%âõçŸsŽÑ&Œ­¬±16¶ÙJw*æKUú[q•ÒKëÅÔÅß塉kë<úîúÖ×· T–S´+6a'Ž²‰V#zµÆxb‚Òï´‰»<4qí·ÙDX­íÊ¥l"A%¸Mè­¬¥1¶gÛibòi›è£ltñ‡6ZRkέm"oéÓEÉIñWE@%ÄöÚç×ËówÚçO¨¨¯Cë¦ügðÍíÊcl"]$Z]¢½1¶g³7ÆJ©[DI’ÿ¬wK­óˆwqå‡&Îé•äõ‹fÞ~›ŸâP´úu$Tº¡Œ"£\¤5³€ãºk›8$§rùÊîNÑ®n­CY•Û„ˆnö£«ß|\ß&r)(Ôàõ‰(]ݸ¾Jä÷¸dÓbë¡J¶ÉJq¨Þ%Ök[ÈéÜŸŽðÙùoq1(^çu’B+Zq¹HÕ˜ +€ýíʱ61‡nï÷ÛÕ&êVáš9=zæKÝàÚ‡Vl7÷&ÿ:ÛDh«Á«’ •X+—m '„¢X¥õ9ÊÇnùŸ[¿øªó¯ãõ´¡å¢,(ùå:± gŠvÅÏ&bfã̺¬)–œÙgr|á1÷Îÿ:Û„¢ŹÕç__%úÏÂ9›ŠûZrUôn_´ =§²ûèhhwõ]›ÈÏ­9bªn~¹NlÀ™¢]ñ³ W–w›Ï>¦_Ëài!kìãZEôÑçU\¶-´W2d­ØD§NlþÃ~`ÇÊòtð–Ð]½ui®ŒŸÿ³å1bP:Þ{z<6Bl§ÍgŠqÅÏ&bæ){fámº ¼2 +¨#»¯)Ææ+Œ…½m´&ÑZçUÞC—/ôŽÙÅØïm–ürŸ»Žy‘ß(€[0Ì&Æ›ÿ–¸õ¡à¦xÛD8Cî.iê›>þõV¯›¯RÛ²¬ˆ¿Þ±4¬¯2|ýóˆB(òGÅ–PiB‘¤õ´nªÄæ¹›0¥€63ØÅ¡.˲ZÆîvàmW 8ÎÃgfE%þfºfcÐm¢ëhiñë—Í•]lîÚ¬Û[Ýï'{ëß?¼m"ÌV „âî<ü“«ØÄàNnÍ0›ˆ™ìM6 +"ãý÷/½³w¾þ¬=M°ÔíÅé'ËÞÞ6JÀt†ÙÄV›ˆúðúú‰ä÷¡gô­Wže–]ØÄàma¶J 0Ì&bæ{ÛÄ+£~ÔÚRì­¿­öÚD+[ŠˆUêœõ^ñ¦ÕFë˜ÊY‹·M 0a61†ƒ6!ÏÁ<¥·"â¬Þë5­lŠ#ˆ íê‡#ãma¶J 0Ì&bæƒ{õ늓Mè{óyÞ2ÿ[:ó‹ÙZC~+Ok¯¨wÀ&ZxÛ*Ófc˜k­õØÄ3ñ¶‰0[% +f1ó€½É&Ö›pØ&ìSº²w‡Mس±‰Íù²ÍcB·Mä#ýúS("9bücT"±NiàQ ³‰1±~ålž®Àòˆ²E\yÄ&ìÙ>'ôâmá]Mx½ Eþ[qD%æ +Åæ?ë×~‡P„ê8bP4‹¢œÅDNd˜MÄÌöÖS4s5ÌÂÛ&}(®é©.;®iMÑJ䬺›RŒjDƒhe¨#—…ºåàD†ÙÀÓ𶉠+öº&¤§­ø…b–Mlb¯{–Mèɱ pe˜MÄÌSöÌÂÛ&}¿ãèŠ%~/•Õ(~›ÛÐ×ë÷zðˆMô¶ +Ðb˜MŒ!6ÿ-qëCÁMñ¶‰pLκN$iQx_ï k¦w}Ñ|ë ­Êyõõ;ºh1Ì&bæ{£8ÔÁeYVËØÝÀ¼mâá*»)Yðñ ³‰1è6±y´}g·¤=þï[ß¾>ÛÿŽê~‡ºÞ6f«BqSîû™€ 2Ì&bæÞõ­/eW²‰h)￱Œ¯v,cvþôÈ»Uö¦GWî3¹òô¦Ã·M 0a6ÑKË,6õáõõYï“\œk½9¯f–]ØÄn¼m"ÌV „†Ù„nöõûlb‡žñu¥þ6wåWŠ…ZÄêAšº]ûoeK‘Í>õů K‡—ÅÛ&P ˜Î0›èå MÄ›xM÷iern +½âÍfÎ Ç›¥[3¼XQœçýúoe³÷©,»Èïd/Þ6f«BÃlB·€Öú×;)^_W’8D‰x}ý\sŒ6aœŸ-9[ùSD/$VÙ´ ïþ[u73[¤©u®Ûám¨Lg˜MôÒ²ÝJβ 1.ÞlæTª+³ôA›pí›0âma¶J 0Ì&t °¯7ÚD‚J„~›Ðçg{Nq¥Ñ&6§tÅ&<ú?bÊl¢×&ò‘~}EE$GŒŒJ¤3‚Hñ~xWp"Ãl¢—‰6²‰«Ž×y6ßX‘M|$ÎÒbuE±=¿þ{m¢Õmë¾x'–¯‰·M„wA(F}Q +éø0¡xx:Ø81Ì&t ×·¾”]¹Mˆ|ä¿Ñ«MD}x}ýDÖû$7}3º2ìØx¼´øt·MôÖú›³U¡€a63{¤=±nË&Öx0L¡¯_ŠH±}½I×z½R¨HØúV¬[ä©[ +¶©[¬ÛzTŸ±•_lÆr@½ÛÖ¹ô×¥t.¦ª¯jOuéØq€‡3Ì&ž†·Mƒ>Oué@( —a63{¤½l]o›Pôa$Zñz*] ³‰ëþ-ñØñ¶‰pLκÀ“f1³GÚëFq¨ƒË²¬–qjSð¼m•€é ³‰ë£Û„Çkiå,â¯_º2÷nÓñ¶‰0[% +f1³GÚëæ6±d|ÿrú›©Öк7¦E(&âm¨Lg˜M\ŸdÑ Âß?ñ+FþÿË›¨ã;ŠbÁÛ&Âl•@(`˜MÄÌiO¬»ÚÄòkäG+~ÑßÌë?ò{ñ[1^dÞ¡EÚÞíà·M 0a6q}V›È‰6‘7m¢¬çü"®ß‹Æ!–Þô‰·M„Ù*PÀ0›ˆ™=ÒëêוÂ& +•=6Q ómÂÒ@ë61o›@%`:ÃlâúäîU"üýS,0ÚD=ÿ°‰ÖÓÇþ4¯€·M„Ù*PÀ0›ˆ™=ÒžÈ}m˜ãmùH¿þ ‹H¢V€zýcUâõŽøT\ߺóõóÛÚ¢¬,>æö$Óy}Íî âï +ಠ³‰ë“Û„ˆÑ&BõÏ]± qP¦¥ºØ€~"pÅÛ&»8(²P?}IŠñd¡ï•HþÞ̳C16›¬ÿªô&„/ +àÃl"föH{"¹M,Ëþþ)ðºð¶‰\j}È©E£X¿ûšÏÕë©Å):Úµ>T£{‘J|ª9_G±‰¢[±%KcÄؤ·ä|}ý|¥û"ž"ù‚"n\_?*’Ôýlvž/óûÿYÀv€c ³‰ë“ÛDMô‹+¼™WÅ쎠‰·M„w}¨•¡õTŒì¾Ö£ukO7]ë󕺸ڄøHÿ`Š6ÑõA×÷Ú„8iqË2ãz½®ÅšÂ,zûÿ÷”¿¢Çf1³GÚ©uFw8‚·MØõ¡x*Fö]CxÓ„ü¦õñQÖçoO˜»ÖXP>ã½6¡ô£GzûoYL—Mäß®#wþ%né]ooÀˆâ5]ýÿ‹ð‡àÃlàixÛD0ëCñTŒì¾í q¢MlÖ2R·”?ªGt‹AŒ´ Küß‚¶MX¶ô®·7`¤eJZlÀ•a63{¤¸,Þ6¡èCÈÆ`Qêõû®Aů`µ(ôÚÁ›(N$®·¹­ÿÇ~%Kª*QÔß3‡žéùºC;cyÿ/â +€g4Ý^ +È$ASÔZ+ÃÚ`’RŠ¹¯æ&šæÂâ&Òû*»ò€¸ €}œæ&ì¬ üSczb]x»‰p„ØyÜ KHÊÝÔ&¤¤Jsü¶Œe I4îÕ«©ÏË©-]–<¥líAþ\òëßz»,ÂÓÞrpµ«)ê]ÒøfæÙ-T{›ñíëUNskdãÈÕ8”âÏÏÏòÛeš€#Þnbº•8o)U¨÷Ð,à@Û¤ðìà47aGwÓÓ³³üEQ6½9Æ>À˜[WWÇ&m^e¼£ÙõKöÜ”o7f[‰é†âwáY«ë­=Ëòìá47±F6ŽÜÜÄê 6q=ÿç/·Øù3ƒPU¶óí§4F »?½LWÌNbï¨;jWzž(o7ñáV®ÀinÂNt«}X~ýQâùf.¦gØËǺ ËU¸‰a7f[ Åá,³ó9ÍM¬‘#%7õ`¨ýªõyZÒgèæ'; (ý”‚(n"ë*ÇH#Cmª¹I]Õu–'öEèuR4åTBUo³º‡ãí&°0ÓÜ„ÍM¬'ëq;ß«S©ïªÏ]2R-mqá½––âgcì¹yªÉX²m.Bó‰ÊîHOLq–ÛTþ…Ãñva¶•ÀPÀinbÜaõ8%•€ó¹¸›¨Ò›áô;º;_À›º‰Ô ”6!E2ÙUljlÅCYB4õí\×·^ej{¶ÁV–Uª +ŒTÒ+ó˜([gûxËÿ›ýƒÆTŸú¿ô>œÊ’*"ÏÿÀ"Øãë‘u¯p2·s???ö c)Õ5ûRÐuùS9d®¹¶~ix»‰ðn›P5û­Ä ESL-C*6õf@%)«çUSö‰ŽZçÞ°–$Ÿ÷¿ôú©ò§¾Î–ÈzžŸ¶ÎÛàªÃMÀݹ ›(kÎkV¡:ÞnÂnJãp •È>‘i5¢|=aØMXt%àÀ}PMUe)ÔX¡n¿Ü¾ÎŠÞ•aøÈÿÅ__ÿ)ëÜéVpp#.è&ž·›fûö.¿ GX‰ÍPÌb–›KÕX’í,Õz‹öj×EÜDï°±¥û´ÿ؆%Ÿ®°¬³î&J£/n.nÀ o7¡Ø‡¨ldV"%ÜÖJákkq +é‡[Ò«³”?‡ó8oÆ7æ66ï±nbx•ÿkO†û_ªOrsÒ`Ëyxvž7ã_yæÅMÀÀM8áí&Â>#pÔq.ñk^VDºjE©¢—U«4…ž¡Êr_–YìU3KžúÆ${×¹\Re°’ÞSÿ—á ÿ‹4oóŽXçršç]ëßõ†nÀ o7•ØƒôÙås| ,æXös¸Ú:_-ø(pNx»‰0ÛJÜÎP¬_Û­YtØ ë p¼n0Ü€Þn+ÓÁM8áí&Âl+¡Ü€Þn+ÓÁM8áí&Âl+¡Ü€Þn+ÓÁM8áí&Âl+¡Ü€Þn"-éãk›)ýŽVbùþJ[ªd¤ñ¥˜vYæµèSh.Kz’]b¼/ttttô=Ó.IO/ Õ‘ÕñJüí§M7à„·›ïA1[¯¤ß×Pa·”z«ã¥ãtº~¥u¨~5zï ýX]?_Ì®A¯ÇOOºÀM8áí&RƒPÚ„ÉdW +¯@u[–6íª¢ë–é½—íP~ 6ùl5”œ¯öUEGGGªžQnÅ–‘–KJQ,ål7à„·›ïöA± eo$ì³1ÕíZÙ»viãtŠ~8½‘_«}mÑÑÑÑŸª+âú3¶r¤Á\‰/ål7à„·›è²¡föŠlMÙ%釣oãÊžiÔ»¦ëVß\·®õtº/ttttô1]áËÕŒ` ÞŒ? nÀ o7ÌöaëÕMÇ°¡˜‹¾K{i3ÎØt½ÑèŠlÿp\ík‹ŽŽŽþT½Kiö®bl–ñNß&Ü€ÞnB±QÙ°è7µAÝ®«¬´—÷Øá¯Æ~¤ï…2ÞžÏÕ¾¶èèèèOÕ»ûøýñ¥I›Wá&œðvaŸ8ê8‘¸Åm-UÒÊø²«w^‹~½1•ei^b¼/ttttô1}ë­®Ž,C¥?¥.i¼ËxÜ€Þnâí¸bt¸ '¼ÝD˜m%0Æb%nÀ +o7•€éà&œðva¶•x°¡X¾¿ªmv^—7à„·›ÀJÀtpNx»‰0ÛJ`(7à„·›ÀJÀtpNx»‰0ÛJ`(7à„·›HKúøÚfÊFi$ý^VbùþJ[ªd¤ñ¥X†JÛó±è®4—%=ËýIzz²éÁnÀ o7dk™‹joi@îh(B±×5wÂr|ó¤§7¬1Ž1~ï%ÙyùÕèÍýzQ,lº.¸ñ1²•X½ï…·›³­†àÁìwRa“µÔM/¡Ñ®Öâcü’­Äzì}/^În+ÓÉÊÜí3[|Œƒl%ÖãÕÜD˜m%>ÐP,ß_Õ6;/€idå +n‚ö™->Æ/ÙJ¬Ç«¹ ¬L'+Wt7Q­vp´´øÙJ¬ÇêC.Y€ÜD˜m%0•+Š›X~#•a¸ Ú­[|Œ_²•X½ïÅËÙM`%`:Y¹"¹‰å/R†› ÝºÅÇ8ÈVb=*n¢|5Npa¶•ÀP@V®TÝÄ’ •a¸ Ú­[|Œ_²•Xº›ÈÞŽÜDZү׆÷"?MLÒË«îh%–ï¯Ù)œÄz§±)½–Í1ƒùÁm±<~SŸ?cõ~õ÷k >¯|Y¹RuiÉ$•a¸ Ú­[|Œƒl%Ö£î&Ê€/g7Þ +‚b.ÒÞläþ# ÓUl¤ƒ«…1à@…sµ¢èsêÞ3ñ»»K­›ôéï×Xü¡nDV®Hnb«š¤2 7A»u‹ñK¶ë±÷½x9»‰Ô8”ö!¥©ç²~©c+Åô¤:~ëÊÆgEE&V/WÆ+ù¤ƒ{K©b±» eé$ÑžguCk}¤sûºYÖ߸DúÃÐ Òûœì®²P½·jOsíyÚŸ7ãýJã»^%ipïû¨\»'ÀÈÊÅMÄÂI*Ãp´[·øÙJ¬GÉMH_În"¼Û‡´È/Í…®ß×P¹ +Êz¥ +¶üY +«T¹eY¥L—é¦;T Â=*—ë9Xczҗú.¯æc\ÿ®ü-ó*qºž“ÏÕþœõ2¸ù +¯›q^iºáê]Zºõ?n>“¬\ÑÝ„R†á&h·nñ1~ÉVb=ö¾/g7a±ÕÞ¦Þe%â÷7mº~8zõk©´•º¢z_Õå~-çF$ëd_ÿfµs ›PôÞõ±¸ Ëú[8$Nïs¢Œ¯†Uò9ÍM”Ö­ËMHç½›LWJ]à&à3ÉÊÜí3[|Œƒl%ÖãÕÜD0Û‡­W7Æb.~nB¬W}Í|ôKìyv +(Ç<ÉMô¦´'O{ãsr ›hÎ¥' ÿÜùÿÏkŒ9ð +Œ1^‹›€!+Wp´Ïlñ1~ÉVb=^ÍM(ö!*ý¦V"Œº‰áêÔÉMtU8;K)=”q=-y†ÎõWrkž{¸ c>zœg»‰ªÞµn;ÝDoõ>ö2þŸý*Æ™^·Ûþ@Út¹UšÜå«öD9Tú @€”ñƒ?­$ŽH‰²¼ö ˆ…—¦¥1-Ë3Ù<ÝñLdråpÛ=v7ẄÁ¸ZlËXt+±ýzùl7!cF êw!öOùY2;Îêñ Ú©òX +1lçS͸n¶ZÐD+¶´¢ÉS”>ƒ|ILºú†ûßÁ_ +¹hÇ»Nìõøf3–›CyŸ£·o®yq»BÞ#ÜL{Þ»Þ⨺ MÒ0 Wà×JÆÜÄíA”²Aœ†L®¬¦CwÃ<7!«­ +A\›Øc5‚ žM®0Œ¨˜ä&h%‚ ‚XŽT®lÄrI¶V +2#1ÃMÈj+ACAAÄ¡O^-ȧžyTìJ Fî&h%‚ ‚X»¢vß,°Ò{llmyìªY2æ&dµ• ¡ ‚ ¢Où<-è&´¶TWÅ«†ålÃï}¹› • ‚ b9ú”ÏÓ¢C:ÊoÞc9ù©m©®Š­WÇíq3Cq7!«­ +AA}Ê'Õ%ÊšåÚïdé˜ éåüçµE[»}h®ï+¸‰TÒÿÞóO‘Ÿ¶Ý’ÿF+ñúù•FšÉ +´ú2™ž²ÌkÉ/A³-éAyUµ?ÚDMe¾:£—6þ—òÑƱ'›§BøTÇY'ƒ|¼/cÔzþ>éY Ÿj} ŸjÞ¸žg¼_ÕzcÂùdÉ&­Þ§ÊPôGѧ|RÝøú‹|ħ"ú½à?yòë‚nBk‹¶*v7‘õaO.§uïËÝ„|`.Ž³Zþ{ +…(ªF;[­×ŒÓá|:Ôú }5šÇÚ‡ÌÎö˜×ÈÓ{üÕ|,ÉæsÁõã|À8ÞuÞË»‰ëïÇ'=eÜ»@}ÔúÁyã^Þ#Pÿï;Χ.ô)ŸT77ñ~¿wó톢¡ôþú§–µaYKá|@Þ¸5ݒχ÷®ïãÕ§|RÝXº +éUå8`êêYû%ûñˆöJo³{äyh%uµ«uámÑVEê&2oµ'T­ÇiKÂ2æ&äÓ>¤"¿48ÿÕ†âfnÂK £þ7QZ!mÞ´‹ëïÁ§ÌŸã&ì|Œ#ªšoç£%µYæ­±­ +ËZ +äÓ|Ųcïsÿj>ÚPx=»’O| FŸòIUYé&2ñö~¿·ãí÷ãªOiw‚› Méë¤9©pnƒnb°-ÚªÀn¢j(:žrw£æ- WȘ›°Ø‡ì,6¿ò¹%¦£–þtŽ¨ˆŽé¼£UÇoöÍÕϾûÒNYú©)í¾ªOªÉ§ã+ye>ZÍ ì~^®«,ãLê(ãW{7Ÿæ¤#jл~ð:·×‡ð1^;oÚçõGñÑ’Qëç»Ñ§|RUÖtõ«7QžÊ~«-ùdÓié8î&ÀáûÕΦ7fj‹¶*šn¢4€gy³ZÊÅsò’p…Œ¹ 1؇쬖4kåýˆªé˜Î;Z\#c¡k6üëé•‚öS÷à#¶uE7ÑW3õyä7qe>àZº‰yïÎw£Oùdµªœ4*…¢&S¥§uIG0H)Ë›J/»ñ?“Ò“òÂLÍjŠº)­›÷;©-ÚªtÕÅ •aÂ', WȘ›ö![„–ü—Z i}"ïä&ª·ƒë]|¼JUKŽ¨÷%_sã³›ªN;ÖUµ&ÊMŒŒã²cýñÖŒ¬çoá’øyMâsÁõìÝ÷BöŸ…ïÎkÅÍú>åc‰L¤i¢Î¢„±êŠ½zUZ?(ÓÑòæw©ìUIRk©±i“ÚR]¯P7¡ÝNµE˜ð KÂ2æ&dÌDý.ľÅ‘fÒP_žòÎkɇÀ;&h‹ñª,Sýºuô³úŒìã€úX>Þþ€b#׺2>—Ù|ªÏÑ؇>–Á½Mˆê¥X«äS½W§¸ëznÞ¬¥9±|¤f7šÏK#iŽ,e}ÊLJ“¿ ŠUÙfÿëêF&FéØç&€ôµüÍŽ£ÜD_[ª«â7]†Fø„%á +s·AAL…Ñtô)KlÒèý~ÿOi +—›8Ô¸ö·ªErô[ÜD³KÍ¿“ÚR]ô$ÂMàÇ +A•Øì%á +s²ÚJÐPAÄa±2ÙMhŠZÓ„©z4ê½òMUâ)° +µ¸‰ÔP€{Ï.Ä}Ð4­åZÐœÀ¶€Uñò» í®Ë{¬¶¨Iø„%á +s´AA,GŸòqÅûýÞdÒö;(½†ÑMŒÌ>®Z絬ŠãÞn"0NèØÜ„¬¶76¯Ÿ_ÕXÍ‹ ‚ .‡>åó´ ›ÐÚÒ\绉sÚu7A+AAÄrô)Ÿ§Eˆt¼_ÝÄ$“µüÞ—» Ym%h(‚ ‚èS>O º ­-]Wp´AA,‡WA-WqçÇv×»Ò{æíã¶üó‘«âXƒãȘ›ÕV‚†‚ ‚ ˆõÀØeÞrW‹'·eÜJŒ»‰TÒo×ʧÈ%8ò¯òÍVâõó+4“hõe2=e™·z6ìÐlKz]Ø°Ì[*Einû8Òû|;úƒOYêCø€úfÂùà—ÑòþÆò©NŠOõíßËGK‚©ç½ïÍÅ€×O8mÞ¾ü8Ÿfs´[h¢C•‚äöxøíkxr[ƭĸ›OãÌEvV3 ßh(¤Ø]ËͳY¯§Ë¦î؇-èVëƒöÕèû¤â‰š|pý8oRÄÇ¢£ðŽå£Õe,ã3ÒÞÖ©ë™|´õSMºöá@>–÷Èx|o>Õý-=p!D1ŒŽ17‘š‚Ò>¤¨-ïú½°4 +'·L×1?‹ì”w´’hN5Ùì˜Wc¶á|ªyÀgv\zŸº%owv×»êïÊ'ÍØß÷nWbáS¥a\ϳŸWw>Š ç}×2,T ÆcCÆÜ„èÖ 43¬ÄE ÅÍÜ„—@G}‡Z.­ +k$íÏÅËç7áåSÖc>Õñïʧ:”eÙ?¡?#=Ôfá“fìÏ«¬äsåçÕâè5×ÆrAÅ`<6dÌMØíCv¶šé¶éV–nhZ>x µ|=qÞ8w0~³o®~zùà;r=ßyjÐÎä5ø¾û#Årmb¯¢Àõ~ë§z­q™ô¼ìëY«äs”ÙŸWYÈçRëÙ¸Nšù(>Zý8– *ã±!cnBÌö¡i. +ÅZàϽåë€óÆé¼ãtÃ5>øjÛÇo~},-­¿‘˵ƒÒ8Ÿ%ê _‚I6YòÁÛKsºyý1òqÍÞÍKÖêUkÂçRëy•›—LrA,Ĉ›Àá• ³e¦û÷ +À[åÜ„öýõÕcûUÆq´ïWþL7Ñ=Î$uªŸ N-ÏøˆCøôs²›ÐŽÏtWXÏ ~Õú!#×G¡Y¬©ƒ1;dÌMH„øjC±oqG¤™´Ô—§¼ób>ðÚÒ¼ÄÕŸò”«ÔGñé˜ßWà8.’öõþ¼Ws¢æ¼WãÓì-FªO F™›8t8piÙQù÷ nÂhÒ³ØttüÊçÖ—n€Z>x˵|MpÞ8k<~³o®~ÞóÌ3Ïü yí;b§,ÐÊp¦ÉÇ>QsÞ@>–Ût±í€¦^ Ƈ›x}b?[uGñ1È 7!ûŦ£ÛP¬þÜØ·Yã ¶ñ[t“€¥ØrÉÕóÌ3ÿ¼–4ŽÓ¬7fš|ìY ácçÜ<5‚T®‘bí©›¨FÕM`Óå&€}Ø3,ù/µÒ’÷§¹‰æ'`ÕÛÁõÕãf±(÷Å<óÌ3?#¯%ñöÞ1þ¸zïÇþÝqññöªï;eùôhr…Á`ô¹‰ÔDÇ3Ü„Œ¨ß…Ø·¸#ÒLZêËSÞy-ƒ‡À;&hKó­9Ì3Ï<ó“òÙYK}÷¼Ú)/Ë8Z}0ˆ—<€¥2Õ' #‹7Ñ,‹r·AAL…Ñwhê…Á`ì±ì8R7a);BÆÜ„¬¶4AqcX¬„ÐM0­Øt{3Œ•ÙÈ2æ&h%‚ ‚XŽåRÁxlȘ›ÕVâƆâõó««yAÄå°\P1 +s´AA,G*W‚˜„ªS17!«­ +AAš\aü—ý²ËµµÁpnÏ:„Žr_ t*Ã7–ÞoUªzM#¥›Ã2ØزÖû +E‰cŒüøAC›Õ8RHc4”€ ‚ h¹H¹rV)hhh³Z~­"h"­F AA5MÍy­¦ÓDYÒŸ}Ók‘_f¢±?%Ž¯_e+-Äó¯u¨ÒYŸÆªî²”'¾üa‡vØ9{yק‚87ÄÉFr—‘¨IšŽôQ:«÷ÿüû_¦Mé¸Èw9ûs"Uߺöïž4ãXÃ*ã(ã[»óú¯aÍvØa‡]þ¾åïŒì û Î=qŽš°NhhoÜ®êý<ѼS—Î.Ñ4QB ¥8| ½Ç$ÿ¿¸o7Nê}À5iv!iä–ܽ™•ïÏ;ì°Ãî³s>šïáÈ÷ +qæƺ 4Á6hhŸÖJšÐwù¦‰ôŠ&仜ýÑ@Ñü$:>Œ²g÷sêøÛúd ÌKó÷vØaw|ß|Uîà÷ +qæÆá,µH¹š@C«Ûž4¡Á‡úîñª4Œçw†4Ù>]òoNÿalzê“wüm¹8Ýu3­§Òs·jvØa´ûŽ ß7ù#Œ8qqdiüI¹š@C«Ûž4‘tøPÞ•¡Ã +k%ÿ暟_MÁÓ‘†/¦f ³¦Ë†Õì°ÃþP{×Xßê~¦çž8¦ÈY¤\hâxÕò¯NÏä¼pyÜëÄ—F·—rŽØJ²b÷·=iBÀ‡Ë’¥±?%’ø;;Ï߉&šÓQ¦dý-¯F`‡öçÚ»Fb×|¬çž8úÈ¥H¹"Ó„\ò-lV”X;‹AšÐ`BÄõqG|M4³º&ÒÌ:.ÔU]çVZJÁ¿¾%XLùhì&Yû +ËÒí¢ÌvØa‡³“»ú ˆ³0NSOR®|M¬ÍÖGšY€&æ6&8¸»&>% ‚  +•:H¹b¥‰²ŽªË*½E¾j#éRûs5[Ýqd²ŽÚ»™y=´&>—|s"Í rë£Ùaš>Í¥““¹¹q4q¼Štù¦‰´%AôÆŠ ‰ºpjÏeª´È—œ¹Û´žKÁ::ç¬oúáL£Íâ¢Õ¥;Y3}aÍM‹ÙMææÖ¤‰£¥²Ëw0M% ‚ Z.R®È4á«0¹ê«–Äéú;Òpt”Y†KUÙÊZSÿ ë ÇoFã&+¯•¼/ijîÕ]LÇ4C[“&º]¾ƒi"­F‰ŠãëW³­Î ‚ ‚–‰”+Ñ4Ѭ”8 Pú[Ópt4ÀÖÖ]® +iÂêà^LÐDí™óJ@A´\¤\ ¢ e}Î]rÖ48ŸîXÂèújœkúÉêGÑG¤‰îÆé󙲘AmOšH«Q@AA)WÜ4ñýSuû,ò¥àÐMƒK»Ž#ŒÕ¬råTõe0Á"Le¶2š©€çRmn—Ow1MÓ¼§íI@ ‚ ‚–‹”+M ¡}lÛ“&Òj”P@ADÊÐÄãÚQiϘn™&Î}û¦‰²¤¿^Ûl©w°ôÌz”8¾~]í¶±º>«Ò¸mÞ^ WòþqóDfÝ4NŒOäãPÜó@g|”èTë!¸äeû=©ÆIx’gí#g¯×sp¬)"å +h +­nWõnmßÁ4‘^+ø J¤Wèx:P,©+nèâŽyç‚lò RÜìv[7ŽL»>#ñGÂ.YÀ¸ºwâ(¹Jw' {ÖÀå/ÌËGÖ*=ôýmf2kDa¦ÍýÝä{BÊÐZÝrõþýó¦hŽßÁ4QÁ„Ä Á¡—ò¸Pù“[{£p®ü[ βQÿ+äüKcs¾œ]ø•kRê.²fÑHzržVa^dqL» Y‡zMžúçG9_Î_¹¿]ãHÅÂõõÅ仫®ôãrñ‰ÝßšÐ×ıd‘594»Œ,¾£ËÜýjÆ·&é‹=´O¤\É4qž ¡¡åvVï&”(ÿŒ¡‰Ä ƒ`'øÀõ2תû]å~|ÍšD9¢ð3UZäøÍK.a]psçSßêÆ!Õoó÷Ý,ü4þ‰Ÿ—/g¹œ\ÿñq¹áЬ²æÒ„\Å +¾ Sž+Ǹ\ü:7¥kBЄÐeú~5¿óŽçÙ¿{k¡š4q*hhh¤%#DDÓ„€ œ]† Ç1½~BIyÓ´ÏU÷»ÊýøºÕ0/S\|A¦qåªIS(úò±ÆáêÞî:×þÍ°B>¾œÕHÁ±nÊqås÷KÇÅ™õþšÞÁn„4ï¹r닦ÂÚ×´_µ¿otëwÉ'GzÑûez‹ÇãË›5ëõQ“&¬AÎ^©ùãÿeÑïß¿ƒÒ†ÞL&”(A4‘xLà`A† 7P,T·tWV_î§Ó„ƒ4öéù¸ãÈt`õWæ#%' _jFŸ§~\eÌÁÌG?š&äî»ÑÄÄ‚pä9+‚&Ê +Nä<­YùîÆüÅi +M즓ÎY\ÇK8äóò4ée‚ˆhšÁA@ŒRu/Óq¹|4qžŒTÅr_ÙÒ‹87ÿzV{7CG>õ-eœ÷¦‰î¾ts¤ k§ño&ÉyÎ¥!}Â{Ò„{^Ùh²[µ:w»ÇÑ„5ÈÍûÕ½´îãgÒÄÙ+ 5üÌ%8”p‘oGФ” %ÊcM¤1˜u\¥ë;\¶æ­f¯ú„ó—»7ò-ÓÔÒëïÆmï}ùèçÕŒ ¤¤÷¯‡~ÜšÉ +¡º›+lºfÝLãÊËåÛ_ÍdË»J»Ê”gîÒì>þ\ #Nyôó"¡ôöd_RS’òˆSÖÁ4D×9b¿¬ñÓÀû¢|eÆWx\Shb7”hÒDv˜Bõ¢]÷b’Te» ²L(Qƒh"­F AAShb7Õø ·Yã +4!xnKÝîÝù¾M$#DDÓP‚ ‚ åšBg¯€ÔüñK@àÈ¢4NLµYç×õ6g”ã(/¹[ÂÐ&ËM<š,L(Qƒh"­F AAShb7Õ°PÓ!‹YâêüãGMÏqš(U‡ªíÄÈAGÓ§yÒt¦ÿP™ "š&Ê’þZÛl9*¥W¨-@‰¦Ž¯_g»aˆr”Ú?zj&…&sÏd£G oz$îy/šƒÎzt¹»½ôM¡‰³W@jþø‚¢ èeB‰òD©@ƒS\ÁßD Á@A] +–ˆ’‰Xî,œÖi÷Œ~½*ìý\3kDG.#h‚¬šB»©‚ å%h²ÊÑ4QÂB ùHÀ¡ëo:®R.òŸá¤`ý»c5G¯ ’Ò¨‰ßõ¬j„zI¸4­Œ~JgÁ¿iá.Më£ÜG’¼fnß­ÏÉ,ÿnž\ëîkâ ù;â+ûÆM@UShâìš?~Æe Jz3™P¢<ÑDâ‘ÁmwW‰”|é§àªeÎ_3沮ДSh:[Ke}üY4áX9²c»1ñ…ónX‚Vz²®Ã41ßt×?ú{Aï­)4qö +Hí¾øJ?Ê®ö{z[¸È;Ë„å1ˆ&RQØŸ}MÈÐôwÅ 4aò×$_~M8ÖaM˜òä:Þ@k÷óšÐGJ@UShbs•œAþû7ÐćËÑ4ÑÐ0jsšÐïVûÍ›ã¡×çÉÅï&¯‰ßZs”hüársЄ°Ýq5vM|MžÝˉÝ™6½ÝL@dÕš8{¤6?Ï¢F Pü%@Ÿ-J”Ç šH3pà¡@q ¹Þ#…_¾K|8ÿî(¤&i»r"²±¼eµëót'O +]9¾¦Jüå<•û˜Ô(QOŠ[‡‘çÇ_³McÐzZß ß~éß y¾ÖW‚ 4‰&6” & øƒ=š(ÍG1/÷"C€&î” "¢iâcQ‚ ‚ }4…&Î^© +ÅP¢tPÅyE Ž&H)x]N§‰ò–>¸5 +ëjˆL(Qƒh"­F AAShbCui"µ€‚Xd”H"MøÊxML š0ÉÑ4”€ ‚ h¹¦ÐÄÙ+ µ¡øG!Ùçåò’%’š&®¾¥Q¶Ô'JfÉÑAësy,ëjˆL(Qƒh"­F AAShâ¡*¡Á=”H:šh–ú W[6a„ƒ!¹šÐÈÑ4”€ ‚ h¹¦ÐÄÙ+ 5_L¯¤ ÅJ$MÔÕ{©fG \«A@ ,„GêáF6ëeB‰òDi5J( ‚ šBJ¦‰²¢þcÉ¡C‰ä¥ ÁGö§‰úhÂ$DDÓP‚ ‚ åšBg¯€Ô†$S@*€âÏ¥%’HÝÂÞZü 8@ˆÀDÍ[3wâdB‰òDi5J( ‚ šB{JfM(P"½x¹¤— ûÒ­î(#×]¦ ntЄC&ˆˆ¦‰²¤¿žÕl9*•žY@‰®Ž¯_g +ž[×>2 +7î`ð‰ +MæžÉšFYµøÊ­'ánJÏ” w›)=EShâìÚqD@ª¬?Æ‚#4ëÀÕ~÷7¡u´™{ðF2¡Dy ¢‰ô +\ÁOP"½B€¢«Pšˆñ +EP%h,e2«tÏè›×¢nØÙm^ÿc¿ì’&Gq(ê×Y@¯v–;˜ˆ‰zf\]þHĈ;ï‰ ‡S!ã?ÎçŸJBˆAˆMìÌ¡pÕZ"É—KÄË#bU‚6aà’ˆÙ6‘ B¡ S£¸]ÅùÅ/ÖÉÅ‚ùú!í›c‰£çù‹8˜¶Mh“cŒUŸ”Ÿ‡¼±Ñ^Œh]^Ç¢xdã|‘³ ?_û¼:¦.Á÷ssh±}Ân<íùÒÎH¬§9â¼û„bg¯ ¥…¡©Ä8ËUbÒ/j~†K%òí$›H€2LU‰}„"_`h«e­=2ò·^i€§`¬²×'bmv~×’µ9V½ëHhäoæìÈoì7ÓŠ ÎÁz¼ç‹Ÿ&x3‹£ž—f{×õÕÊóæÑšòm„ØÄײ|ÙO›ø0.‰˜mˆxã*‘/M‹Ï´¢^ä² Ö£í€Ù£Vþ`IhÏÃ`þzˆfB—M$en»ï+ï*1ßö¥]r¾³m¨§¹›íň8iö5²óÐ& ±‰³×„ÒÜX]y&.•È·“l"e û³/¨ ×32®—P,Á° W{| ûïn6¡%‰²‰ŽyˆZ]»êÔ:ÞÈ&ìðz:²õuIÀýð›ÐŽºlùK• $Ä&9*:“üú‹6A¦â’ˆÙ6ˆƒ¨ųvG•HÛÛD÷ê¨9œ˜Y‹×Iúò7s"õ»VqZ=;ÛDQ­×&ê HvÚÙ„×.yëkï½¾ZyvGÚ!"!6qöòv¹F©—7FðJÐ&ÈL\*‘o'ÙD¨í^ŸõëS^|Ó¯£E­}s”z•X8’ÌÓaxýv;s!v~ã|»1ÚÛuºæ!Á«DíîB®ïìóÕ’¸®2ØÌ{¾Å¼áC$ý~³ƒõ¡Ž:ë^íÙ!äé„ØD¶8¸¤àG%L›è8¯¦Âî8©$¢á’ˆÙ6ñµ*AyŸ\“ÇŽE› $ +ØÄÙRû!Ý›ëgpu]«Äæ6QçÌ#„q©D¾diµJP(!Ýœëðë÷ùA·JEÈݱ W¼ iIŠ"‚«Dº‰ML”Dá’ˆÙ6A• „BÈr–Û„½þ—Ýáï K%R¶JÏç½ê’Ä.F±¥8ŠXXý·>/»¼k8q 2ˆK%òí$›H«U‚BA!„U6P. wð¨Dz_{‹;Å¢½3mŠ$ZyÚ¸ø $ +—D̶ ª!„B–3hÇ;WÜÞ¦÷/B©N•Hc6Qœ£‘Ak)ŽR$©sÙš µ Á¥ùv’M¤Õ*A¡ „BÈ Màñ[ÄUôAøU"Ù˜Ê6…›@N„6ñI\1Û&¨„BYΞ6‘2¡øù; )È&ŠHÇÂ1m_Ž6ñ1\*‘o'ÙDZ­ +B!„¬²‰ÔŠ†M8U"a6Qªcìˆ-ÅÆEQ4Š¸]mb6.‰˜mù’þu¡¯ÈQ‘·¼¸µJÿþ×ë§íçÁ¢–Ç¥¯žâh‘ª/¿Ý¦™ŠB dÄ&´>ºfâû¡Ð×Þƒ<`áý€SØ—JäÛI6‘ÞA[ð*‘Þ¥ãîBѽ¯åAò{ëÑ‚#ùGÚB!tÛD‡ÂuTk9£’ðœ`ꜗD̶‰\ +MH˜2½ÀíZlk¨×Õ.›À»7ë\eç;  +å½ì" !„XBlâì5Rƒ¦„l…K%òí$›H€2ˆñüAQ‰åBq­¢ëUw¹âZ$ø›H™PxëÔ:B!ó± B¾—D̶‰B’´Ô·•a\(Rµ¤/–Äâj? +mqþÚßÄ&ì"Åî#uÒ&!„|˜›8{M(ísùAŽ?¬.„¬Á¥ùv’M¤laöEd¡ˆˆ½:„bøBoo,é·² ­1m‚Bȇ ±‰Í9*:“üú‹6ñÍüï¿ÿqýfÛ„-†b\ÜZ%’Ó÷]õIBl¨Ò&!„|˜›8{M(m(ÿuµJtÁ?*A›ønNAÀŸ7íl›Hc"µ]ÅkåüZ<‹ûy°#^â­ +IRÒŠ1ê¬O¬–B'Ä&6Ä—ü¨„i#¶¢%OByÙ„¶à/~°‰oV B!„lBˆMœ½Â ;sj?¤{sU®ùk•°{*€¡-QC»ÙDZ­ +B!„„ØÄ 4kˆ²‰$ EñªD¢M<šÝl‚*A!„å„ظÂïΙ—äµ ãtTwø;Ø¡©Zê罊$?£5¼7;j‡ÈTv³‰´Z%(„B ±‰ ÚB¹,/ÜÁ¯I² ­hâߺc|“«ölv³ ª!„B–b+ü"çñη·é}­ŽPêC—J$Ó&Š]@ ŠëÉ!SÙÍ&Òj• PB!$Ä&fJ^h.ö»^„¿D¯J$Ý&jMÅ¡îbtÜäJ}»ÙU‚B!Ë ± p…ß3Ü&R&?‡U"Ù„è MÐ,>Àn6‘V«…‚B!!61Íps±] a]*‘t›ÈGÔ4¡¨¹Ú‡¼u’v³‰|Iÿº®ÈQ‘Þ༻JÔç(<×Ò_#ˆ¬§;Ϥù1šyÀ»½»žæ Hûzš÷9Þ>¤°ï¼û×»UŒºìx7!6qöŠ­êÃùA´‡‹| »ÙDÊög_[%¼Go$Ú+W[ã +¾]íá¼Ù:pe¶º`Úð¯§w)ˆzF= »¯´Õ >zG=Ýyú2{WƒH›©×ËF­SÑ—61ïù²ãÝ„ØÄæI~ýE›øfv³‰¦’b€GÁíØŸÈñ·.8²"ÄûþÁWPv{íµàÈê}É×¼vSW§÷•Ø¦y.ƒõ |i:^rî® ÷°zŒ }½&Õ³áýì}ï…¼>_v\kÜlbg/o—Ùùóiñfû­´‰ïf7›Hc"µ]HýPxñÀ/×›¡™dÆ[¢ç­¥L Ø«ˆÔÝûæS¼Fx£}l=Þù1ƒõ4k'kŒ;»žzÞìz´Sè®Iî„‘z¼µöõˆ]ìÆâáó£%7æaÒü¸êAòÖ“$Ý0×ízŒ:5f!6±!ÈàHõR Ó&ÄK骙lÎn6ñå*A!„©€ æ›8{õ”ØÊ©ýîMíç§V ÚÄײ›M¤Õ*A¡ „B ¸” +±‰hÖeIŠ"‚«„Üw™$QìfT B!„,'Ä&À~HN¯MøÖÿÄ!9UBÎVý½"Hyd7v³‰´Z%,‡Âêº!„í±‰ ÚB¹¼/ÜÁ£¯ âÖh–GÈþìfT B!„,'Ä&WøEÎã+noÓ») ”úàT‰Ô²‰â,hwd7›H«U‚BA!„›˜f( ¹Ø +P¬íSa~•H™;I +$?YÎn6A• „BÈrBl\á‡ä ±‰” ÅÏß•H´‰/`7›H«U‚BA!„›˜Á M¤–P4l©I’‚BòœÅ_r v³‰|Iÿº‘®ÈQ‘·ãwT‰ú\„çZúk‘§Òn¹ÉCÝœ–¤¼$µúµS®ƒöäÔGíi¯Çˆ—Ò{};æÇ>„´©ÇhßœŸðzŽ +»»ýx=â ö!£ý#ëÑ‚ÆÐZûñzŽwšõØíÇëÑÆí‹×Ӝ횄ؾÂwåÔ~xãö¨çó÷ŸÌ#:æÁUؼäd»ÙDzmÁT¢1¢» Eªž¦ú¹n¶×vÀኡ'=ÝiµyоFýÆ'̨YÝ~¼#Þ,uR=vãfmáõhíÁK[x´§uêýÌz´ûG Ú÷° ¾÷Ÿ]O¿Úk +Blbg…ë¨ÖrvUSó“ìf¹,ïÊ}(nò¨Dj­‘¯˜G†ëÈcä×ÞE¯&××D 6g ™R¤òIõh/üf³ëA’؇Y·wtW=®gÇhÿÔzòþ¼‹ï¥¨zÄ2ÀûyöõêŽGÕc”ç}Ö +BlâìÕ1ôÇò_gBpv³‰¤(ƒ?Þ¥CëåÚ.G|U6?|Í<®á:òtÓ'bÿš‹/O{’‘%«Ñ>°×WÞÈXOÝÞ®Çøx=¯1rÛÃüŒÌ¡6úH=y¿^uûÀzv¾^Ýñ¨zŒòš÷’MˆMò +ìf†&4ãÈÑ[¨Dj}^‘¯§‡óæ1òhmð„ÞŒH>½H®2ð¯9^×j°Ï+p~Ru»6™QÖ©aêülrÿˆ}›½£žú]Ö>°ž«~½êöõhííbÑ;êï“f<ª­ý8!6qöŠªç‘ùA´‡lÂn6‘²…ýÙ‘…""öºPˆßµ¤°º_ãöpÞ<ݸò_m<óëƒL)RÃÿÙ¯¶$Éqæìïüõ­öD}´>ÔÌçj=]Õ.•E‚Qét&§L-ÛÄLwqG=mØ® Ióz.é¾ð,ÒTU¨¿^ÌtëêãÔÊžÖƒ[V‘Aëv^l?G?7‰}^RŸy”¸‰7Ħ#Oø÷_3 Äj<››ÀÆXŒ/`%šõªô|ð¸?]ˆ'èû!÷Õ(üzF¿ò‹º‹“§¶»0K—ØoUÝEô¼JOŽÇÿ\ßý~EµEᄄǩ'ôQ0ƒKÜÄ>+:åîüàéNðß9»• ›xn<››hsF êx!¶ïèGú?^ŠæÅz +%e1§„ê3^ +ƒø*=‰Ôx]…!=“zšÞ-xRF¢ñ˜Igô1iñ%zpvq,­¤>`É¡"ÌèÁñ¡ñòúŒÁ<Á%nâ +¡Uiû󌄨>­tâ~ógù–.µˆgson%‚ ‚X +g¯Xâ&öY‰wæ=¨Q­ÄÜÄ<‰Iû’x67Ñ®¶4AñÂpvw%nâ +qTiûñõNƒý¸ßJÈsé&‹gs´AA\Ž7±ÏZ í©ùÍ*_Þá÷¥•h–›81l°°(‰3êyI<››hW[‰6›‚«uAÄÓ¡ÄM¼!¾Úéçß)àø{ö+qŽG¦*Ql‚IFÞaÛ<›› • ‚ âr”¸‰}Öiæ÷›£y6ÜDÐJ4ËMœ vâßÑeÐMœ°»‰}ø«ÝD»ÚJÐPAQâ&Þ_]ôóïpüýrq+Ѥ¾]ìägÜ„–о•›ØøÐoµ› • ‚ âr”¸‰}ÖiOÍoVÉv+Ñë&Lï0Ž¼ƒ›8“èq‘›hW[  +‚ ‚ JÜÄâ«‹þqþŽ¿3Vb$lCoßsžþ‘DäÔb€Â×CÈD¬v}KÿQücdÐG¸»•רía-~ŸW/^g +fYú“ÓD‘ǬoÖ+ÅÏã¡*Ñ +kòkl3zDU~=æ`B(FK¡ÕS÷×Aã¿DO´>ÚLòEzB< ïà/‚¿NI"ÿ8Øô]â Õ¡JYL­>³Æ†Qâ&öYÑ)wç7«$ß©ÎG$êìG ùR…wDÈJôÇEn¢}7Zÿ}·í»é¸»¡hJ÷«]ãµgºq|ų“àÔ$i_ +ñÕäY/ÎußxmóDs­ÐÕ³ºžñQ7¶ÿ±uŽãs[úŸ;M'žÒÜûäH±B¶ +<åõÔ¹­¿_fÞ­ ã_±ÑzâtÚˆ¶.ËLdæ}6=ž½ +Pâ&âM2«Ý° ÚøÍEâø À¯\Ï×;Ó…H0ÿ ZŒŸ0* zI,B´‹pæMt!~-¸/žõŽ—¢zÆÁ=+ê£M‘küž)ž}bªš×#Þ°o=ñUÏ‹ó~™ñ~=Î}­C.9bêñ'2óêñ,3¤67±Ï*öJüÄk d%úã"7Ñt› ™…Óˆ8ëv†nü¯Ùèçuü»âm +ð'¦ÌŒ‹‘éº9¿ÚUüž¹IÎf/ªÇ3eiýµ¹‹Öëß]§˳ç7.¡g¼Õ³èy éñåzœä¦þD›}Þ‰<$%zjß?i”¸‰7öŒÄ+!d"V» l€Å8ðV¢YŸ9ÿ×?úy=ýv2 D_/9IÑúäºÍÕݬÆê.Ò‹_C´ÛIëYQÏy¢U»»íR(uÕýŠòxÞo¦†œyZ¿sĹ%<“ïOO€§Vé‚\â&öYÑ)wçïoˆjÄõY‰þ¸ÈM´9#Pu¼ãCwzO#xHCO®H²ú +åe1§ˆÁÚt­’¸D¢N?˜å¹/æºÌ›xº +žÑ#ò‡ô”×G ÆüN*¼ +-d÷×êÑ‚ý—DªmÖƒ%áÅzÆ=+ò¬+Z‡IýÚ¥¨§i=€$*ÀYâ&Þøv„nSUÒG"·!Es$*iR€Fû2«ÝÄ›[ ‚ ‚ –ÂÙY•¸‰}VFâ¥ü;§öóL7{×òž´®…äQæœwÈ­«Ÿuœã»“àY‰þ¸ÈM´«­ +AA¼0œýR‰›¸#4×På&Zµ¡xØ}y°›8y7áQ•s-h"V» Z ‚ ‚ .G‰›pvài¬àï9û%GÝnYã&NJ>NÄF½9{.ib@¼Æ,Žx4{ôø×h®´çY‰þ¸ÈM´«­Ä ŠMÁÕº‚ âéPâ&îˆI7áAmûãSÌxUŒÑRæþïØb,ZÒ~Ü/L£͈sÎY-h"V» Z ‚ ‚ .G‰›(ìÀÆÿÁ9vÅãøؾwìÁØÏ÷Jú%hþĈ—DË Š±%9åÒÜ„¹.\(ç1[²ýq‘›hW[  +‚ ‚ JÜÄÑ;”~ÉNç‚݄քϸ ©uË ÛÌšÊ/œˆ«¹ sN7Ñ‚&bµ› • ‚ âr”¸ gžÆ +þ¥nâXä&zfO×í´ æ%í¯“j›Àæ%$ ,-d%úã"7Ñ®¶4AA”¸‰;Bs +~ç‚ÍB¹›8qŽæ»£]ÕV¡­kŒÿb lÔ‰S‹ Ñ|¾_ÇxÈD¬v}Kÿ¡ðÙàñôñZŒkï²ø ‚m æNjי‚Y–6<žãÄqp“žPA»¤©ÕHðýÂúïÑï™2SÀ¯e‰ê1“Nê×Û_šÔ#ò{Ä{ô›I‰¶^¬ÖäÖ'½^r§qŠTžÀó[¢ë,Ñ#®7ªÇ“WL!f÷ ÄMø;ðVðïœÚÏObn§D1 +—3d%úã"7Ñ:+±Ckø7Å2hã÷2M²¨§7§¯8Óã+öj‚S“¾Ú m<ä˜P[ž¨Ý´þñž‰éýƒ+™®OT ,у¥š;Ö™×Ioo->qKøp¿B¢zœ©MÎB=W퇞Äs¾ ”¸‰wƦà¸z­¼WÂ33d"V»‰Þ|ņSŒ†6:>Ä×#xµäÜ`ÑÏGÚˤ¥^ò§jœäU­Åÿyõ€qS†ùQ6“VÅ;cr5÷ÑSp.;¤]šÔ¨<‚O·)5zîპÒá¤N+îWh½‰š;¥âàB=¹ýz¹•ìOq®‡3÷ý*qû¬Dê—á_╲ýq‘›h–AO/þîäzÂhºþïê7@”T@ZN'®¼ µ+þàú[D1/S¥‚çþë“^—_^츴y=`½NÁæ?ª3·®Äý÷ËÃoꕈ˜¾n?„ÖU¨'=îÔ“^¯HÕ¬4žk<%n‚ Þ!±ÚMô-ýÇc;¶úÑñÛY‰¦¿Å²8‚ÇéTÿ ZŒŸ0ú²8¿Vͺ)Ñ[ãù2šI«âTðõ÷l'MŒj6÷›G•JŒLè÷H]q^¢§ðyûÜ_ÿyN Í…šÔ“;wêSV×%nbŸU¥çFüý³vzôÀk¸/BV¢?.r­kì÷¹·Ob(´×#øVzx¢éT9„È͙Ƽ¢{iú»¼3ý÷+ô…]ïßoþ¼M©§\3kt +[¡'±´« ®çŠs,Ò©§ðyœ¡J¯·p?D÷v•ž’šhI‘ùý)ŽxÞ!9”¸‰7„³J,æ‹!d"V» Ó l’Åã‰ã3¿rýÝ]î¡¿ò‹|­¿ñây4Þìj}±réY{y·àl£‚g:Õ’®Ïy ªôäöƒSÀ¤†’½±¢ƒÜK"¢:7ôòú?XÏ#5˜äš6Q­Yâ&öYÑ)·æßþ ýï¯ã·ÿýõëWûïö߯?ðÜâ.Y‰þ¸ÈM´ +;pkC±}G?Ò€øñR4oš$Š(-(‹s–9n‹Åwž÷5©ýxí Þ¹d-RÓi2'ê¦-j¼Òoê4ƒgôŒWÅò#@Ë(žƒú„ô;KÖ«MñP‰ã!æñ’¹^HSÐéYÅ6`‘ç’µHí’¶Þœž¦ø /ÂYâ&Þ +Ÿwa°‡}Xá&Æ=SÂœ IlÅ’\3ë¨OÈD¬von%‚ ‚X +g÷Uâ&öY‰·å׬ÄÉMÌ÷Àç¤ÖH mhÊjïyâw¦óÔ*¡ônbëP–Ô)¡NYºa +ÝÄÉåd‡LÄj7A+AAÄå(qû¬Òž—ÿpýIï&þÅ?íanbLt9ÎG£‘ôñX È{bsY¢¹ÌDãDà&Är$!+ѹ‰vµ•xaC±)¸ZAA<JÜÄ»aûm">»‹?'UIǦ· +Í<ÁÁ§qqøkæò@.máf"qQ (<2«Ý­AA—£ÄMì³H{4¿ÿøÑÙþúC1zŠƒ¼ÐMˆ#Unâ$,¸‰PçFÀªµxmPó§xÏÚCV¢?.ríj+ACAAD‰›x7ônB3{ØÏŸ?ÛMÜÄL‡/’ƒ€En+/…üΉXí&h%‚ ‚¸%nbŸµ@ÚóòŸÜħ¡è.µ®/}m7ÏE±ÂMÃrš2ã&BV¢?.ríj+ACAAD‰›x7ˆnbûçsüó¼û[•TFÆHóîðµ¥iJfriÕ‰<Ói£›ŸlŽ=$3çÓ(Ê8pì8Êè)„¸‰W/k—GëŸC-Z‰#ÅM„p«bÊýê9‘ª2Y‰ú˜ä&Ê»A6üÇ»•(ï¦ã醢nWº:l/€éú¿Û¡)ÃpÕPƒšúùtØû6Ã^Ò^ ‰bëŸF¦ãYÿýüx:^7]då<ªEª_â}$./êô· ·ÏÇÜK +¯GÉ;툌³ÿ"¬ 8!nâ×8‡úÍJ\¿º µ†ŽLR˜ÉDd»‰Ú œÇá†ÿ¨,Ãñn”^àñèû(÷×Þ”®9_™ÛGGsɪ¦ÔŒ Åô~õv/‹Ó¾Ö'«dw,Ó\‹õGÕ#=ßØñkCj@nÌ^OqNÇ|Ö¾gŠ·éãà|Ÿ—´Îäû#]Ê®G)I)ušŒûžWàúâ&^½©­ÿg¥¯­Äé ÞEFÁd &+Q“ÜD,ƒ?*úöŽãvôï¹odMל§¾øVqe†—ðÝQ=‘zåˆoá†:Ö¼J1Ó]‡©Ô¼zLã)=ßðñ\ŒëÅ[~¾ž:è¨ì‚×™­_Œó!ðy!7ؤÖEÖçðñôïPg—D>ö¼†—”gªâ&~“cw™$“‰Èvõ–þ¬A?ÞÍ…ÔëAV¢Ì¶RÒ‡}ªãHòî#:&}G%Ê*Ö¯>úKõ#EJ:Ö¼R{é’£þa|K=Êü‰Oð\¹—iÒ;ÔSÇñÉ<}‘”s\LížÖ\Žñ×çóâ{€Ô£”¤” +Ö9=7½¿úüñâ&^½¢êùJ}ò˜¬D}LrE¶ ’Yh"Ã^3Ò§ùÆ"q0CʇI\_Å)”ØÆ÷DVòê·#m ¬Õö—ž^oWÓœ›îE)fW=×%i륿\ÓçøŒ¬õ„Ìëóò¿µ=þ-~ÏûîÃybš?+óÑѳ» q?Îѱ»"’…ÉDd» Ý8(ãâ ¬D™-Oøj ¾¹‹«Ï +ÖÏ‹i¥v,=¾U ßND­žîsäán¬_Wû|=¦ÝÚâ¸Ý¡)¢_Ò_"Ó3JÒ¿Û|â!u&Õ³òþ®Œ3¢3í8l9mâ&^½¬]ž®_O¹!Ñ5’ý˜¬D}LreÍD7Ò¿tÍ Ø¼ŒÊKjzsõ7=é#`T†éÒÁ›~ }EmšwÚ¾¹$^|_¿^^?R2žz¢ðñ4%]Ñ‘Úg×SGš«Ã.J1W©Hëý®èKã3u”xÈý"Á»3¥@#çuÇÒÜÞrÚ^ê"éLÛ„¸‰D"Ö'’ô“ï¬èL#Ö’ÜRàû‚³ÝÄ[ B!„¤nóBÜÄ«—§Ä­ú/Mé‡tŸn¤Ã÷üˆŸÍHX â|÷µb"¦¯¸ÉJÔÇ$7Qv[  +B!ä‹÷T!nâ‰H®!ÊM”hCñ±çòa7Ñu7áfê&ŠÑDd» Z B!„l'ÄM€;p7úQnB®¹‰¦’ód¸Q¯Û4çÈ%©¥½¤<Œ 5#õôãÐI“H¹‹ëÜd%êc’›(»­ÄŠC`w]„BÈíqOdÑM Än?”½nÓ¦¿ªìœûŠrý·ßbéûóaÒ:Ž&Iõfdx;ø˜ôq“‰Èv´„BÙNˆ›ÜLÿÔìwÅg\?^ëRè÷óu%õ-HþBi3¼4´ Ãbú nIš\’›˜Þ—>Pn7!å5Y‰ú˜ä&Ên+ACA!„7ñD$‡:ÝMH›ð7¡´ìwÎJwiû=-F’ÂKUN†w‘ç&ô¼&‘í&h%!„²7îÀÝd觺‰«A’›¨•‘]7h¦—¤¿ Tž›PÌËÔM\ƒ9+“•¨In¢ì¶4„B qOdÑM”™¡wfo.t ·‘®Jw!ÝWß¾ÿ«ë(…õuNSÙMÙG(b2Ùn¢ÞÒŸ^‘££nyñt+Ñßcÿà†• 4Á¤¼z=™K½žÓûbœqÆ\üè(ïô‘¦ãŠ¾”Q/ÔWn +¯¿.R’Ò qøÜG†þKSúá"ÓÇçL"±}0MV¢>&¹‰òn¤ +ÿñn%Ê»éxº¡(#‹Ú|9§í¥0’+‡¸TÛpÕ˜ ãŒ3Îø—ÅKµa+}С€\žëy­eݺ€â&~™C຺·¼oâƒi2Ùn¢6çq¸á?*Ëp¼Û¥x¼ÃÏ£òJ œ`ø¢àCú˜×G¾¦NdEcœqÆz¼¡#·þð;ŒhöçzÊ'oo]eNBÜÄ«—#õ}ô9:vWDR0Y‰ú˜ä&Š`”ø99§½LÇíèßmpPâHºëü«¸2ÊÒ³}õgœqÆŠ;‚Ò÷\ŠëRJ +kÞÅ:•¸T?Bˆ› äG0™ˆl7¡Ø%~¼3ìõ +QfËŠôaŸêXÓùÔ†ûg4lƒ :šI+ ãŒ3ÎøãâŽ`YÔ?„ÅhªÙŸëè}Áú}„¸‰W¯¨z¶ègóôúɉÉJÔÇ$7Qªý«/h%¦½g(ôeE_#8˜Î§æÀ¤¬¯bŠìöÕŸqÆg<*®D–‹úÇß ©‡yeSßiýnBÜ çÙ]ÚOc2ÙnB7ŠÅ¸ø+QfŸÓ•ÕÄ”.ï+]kšd§+‘Ô¸÷Å8ãŒ3þ”¸AZ®èOuÍé7ÜÚwZÿãÿèmBÜÄ«—µË­ô³qÔ¯LxÇ"!˜¬D}LreÍD7r¼SGêJûþ’5/Áª© Ë´ x_Œ3Î8ãO‰_WûÈTÊ­_·lzMÅëKzF骣þ!HË7A‘žÂ5'32:æØPg±–ä–š¶· +šLD¶›øq+A!„TÀ=aˆ›xõò”xýlõ+nb}Ãdõïà+uÅDL;úMV¢>&¹‰²ÛJÐPB!_ ¸_ +q$œë)ýù +„g4é½CcÖÝ„›X7QŒ&"ÛMÐJB!d;!nâÕ+¡´Ïégã¨ú>æ&Ž¿©/5W›ŽŠ›hÔŽ +½ž:XwiÔú–J^½A_¼ÉJÔÇ$7Qv[‰/6‡Àîº!„Ûâ&H8¶µµ¿¦A`Æ~פïχeÔq©ÍðÒPª7#} +Šš^žÞq8&‘í&h%!„²7ñê•PÚçô³9ëÇ0 nB*£TÛoÝM4†EwRj‡›ò"6ä:7Y‰ú˜ä&Ên+ACA!„7AÂù³Ýý«ý5 +Â3JÁ©³.)6ä3nBw¦ÂL&"ÛMÐJB!d;!nâÕ+¡´ÏéßéSØå&”}xÓeÑM(æeê&Žÿ£dñ¹ “•¨In¢ì¶4„B q$œ?ÛÝ¿Ú_Ó ‘Ô`Xóun2Ùn¢ÞÒŸE6‘ $þD+q¼SFÏqøW öRÍ$ëAâ©L‡¥>ñÕÏøwǯ«Ã`ßÅÔ/ÝŒmZZëAò:ô¯«’¸éf‡âúP Åz²õ©«s›«RƆ7ñêeír+ý2} +ŽÇtCžu&+Q“ÜDy7Š¹¸®JñçŠ2ò³ú—°o?=êXeAPßÚ¥9ïW +kýŒw¼¨»ŽØ¼z +JöÏä5ÝoÆ +ë¼" æ4˜QO¶>uÂu®IÛÌÞáÌé q$œéSøŽÇô¸»0™ˆl7Q„ó(Ù„ëªwïÀð³)-úÃÒÒúJ"»I§¡¹¤wVµ²³bü7ãR|}Lóцó9;¯þIÝ‘"uù§Å¬|õ¤êSç3:J÷†7ñêeír+ýrì®ñç0Y‰ú˜ä&ŠlÎH=U†ö¡ïå8ngøIt|õ–Ó7ne7eª Ü²ú0þ›q)¾> ~¿–éÓ»y»•ó×\ë¸á…Mu”›DžQ`=©úÔùŒŽé q„ü&‘í&ê-ýùÚJ6áº*ÅÝÇ; /aîU¾T»ä«èÞ­)I¥Ô`I`F½NÆ3.E”é$Í[d>#ú×UðŽÊ+%Õõ§iRðéô· è„Ô“­OX¤}ˆ›xõ²v¹•>Èð¡ßÁd%êc’›(€}h®JñG +é;yD¸‰¨2|šH"¤1ÒÅZ?ãß—"àôÃß²&¨ë+«ð´£;¯ãõ#î’† +ô[Pv/à½[ëù˜>ubuLÊ!nâæN‘ÿüÃÝ|&‘í&ûPÞ§½ï{=ÎJuI¾°ø’gzÙÝ»5S +wIî ã¿#Ãy…¼bŠÒÌô¶æê[ÅW +X¼¯ÔzvéSg]W® q¯^Ö.Ùúõ§rˆUíV‚nâ·1Y‰ú˜ä&Êšˆ:n¤©›¼ya•@t|+¦â+_ÏWK–i°~Æ'.]r‹E}©ãòZõë«Ò`"㆔:Õ—îEÑY©'[Ÿ:I:C–!n↠Ãn:­„ê&†Ï(j0MII&‘í&~ÜJB!$poâ&^½ÌõšÒé>Ý`ƒÛïÞJ(ûþoÈ_‘&5É“•¨In¢ì¶4„BÈóI7‘ä¢ÜDŠ&‚[‰B7ñüû_ÿ4ý²Ý­!„B¶â&À~ˆ¦ÕMèûÿ±wø{÷Ž[‰2s½‘Äõ¤CµæRsûÈ +’—AÀ¿&j¶›(»­ÄŠC`w]„BÈ6BÜD‹n¡ÝN7ÞÁb%J·Í¸‚}³"ìí›Hß L +ê+Fƒ 9Ý„´áo~p´„BÙNˆ›Üá7šýnùŒëÇòîZû`´E؇¿¹•Jj膾 ¼oþ‡—†é†Ý‡%5©¹››(»­ +!„BBÜD’C‹¾1î7ÏoÂn%Šê&ô½½Ò½¹4íåvRjRs77A+A!„털 p‡¢â&Je(þü]°eÁMH‘þoˆ›¸nJiL†ÜÍM”ÝV‚†‚B!!n"ƒE7Qf†bâ&ŒV¢¨n¢N×G¤’†÷"Ù‡þ¯¤_F>Âq¿?ÈÝÜD½¥?Ÿ]¹è-@ßžV‚B!BܾÃ7iþ—ý:F‚¡(èþ—¦%"C£Ú™ÔÿÞý‘zœ'c{êÓš ÔDËü¬‰¾†C ûoš‚x5\x 1 +endstream +endobj +54 0 obj +<< +/Type /Page +/Parent 495 0 R +/Resources 55 0 R +/Contents 56 0 R +/Rotate 90 +/StructParents 17 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +55 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +56 0 obj +<< /Length 962 /Filter /FlateDecode >> +stream +H‰|UÛnÜ6}×WÌ“A—¤¨[ð®“ÔiÉ~ú k¹kÖºlE­íö7šŸè_vH®öX†“”4çÌ93Ã/ƒÙr)€Ãr0àŒ2‘_È„òxÁi"`Ù³…I¡2øÆþ™ª +f¿qؘ“°¬ÜŽ#ÜSð\)£7aL%iÃHД@˜’ ¸nBÎiN¶µÚïT;@ ‹ºý¸Á¸˜èPà¡ê»hÑy ¡%å¤ó€u­zЭ{ŸÃ‘²L·J…~ûp$ïzûÿweÌ/S¸Ä˜ßð=±ûîWU׋ö„}½òèëýÙÑÇ//MøÇòSÀ%ÍÓŒ8)T˜“µÝj|꿯Ýnå’ˆ£‰”D «Èó1 +Ÿ…O€‹™5W–Œé%ê‰bKñ€á‘“áŠúÌûm”£ÖZÕžõØ’ +žìã˜@/—ÚhâZÉ~„ªžœ€KáÁ¿ª0ŠiBŒeˆ‰*QtŠçÊ?¾£ +yR¾'åYq–l6zï=ÿ¼µt¸–5|UfçÖƒùI†@ùI\ìu Žpþ9ð4÷Ûr£BìL‘ð0Á|3[°Œ@çNë™C~ãõÞ¾ +f7‹ë+`Á»wÁüjÌÝØÉqìDîÆÎ/¨Ž§”zEciÇÎѳc?¹DÈ¥1ª¹³ý¿èV +e=jõdMGÒ÷7‹3b~N|˜w!‘UZÊÄödnÌÙ¡gÈuk†~W +ºkán·^«~‚Aœ0œâf9Nňk“çC™»%°DQe¬°±Zm™ LÄ/“–Ð Í´Æ4¹íõ `èð^ø9y¸€rõX¶•‚F5]ÿ7l;Ý“ªäaS‘Ÿª’GËþ½)ñ¾2?& “ È”Ñü RØ_M·^I?\ÑßLp¤yNe>úôr5„ŒîôU·{Å–ìeüû–ð‰G*W«Iœ|GâÅwÀ‰Ž‰žäy¥7nT­ª[ÇvÐI1A’q[ÃÑŒcý]Ó`ØÝ]¤ž·=þTØ–œ,6^䶜£ßÔ¦±¿qu·Ñ¨¿våð(TŠÔÖïµašû6ïÕºë›ÝoWð4ÎÃJ›‡)ʉù•‰<)é‰K‚Éü¹7±e­ÿq‚@xÔ%Ü«çk¢¼ns×Àݺ-ûaRöÄxËœŸ´ùà•™ûî©äÄ=êngÀÔú¬ þ`åÞê +endstream +endobj +57 0 obj +<< +/Type /Page +/Parent 495 0 R +/Resources 58 0 R +/Contents 59 0 R +/Rotate 90 +/StructParents 18 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +58 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R /TT6 87 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +59 0 obj +<< /Length 880 /Filter /FlateDecode >> +stream +H‰”UÛŽÛ6}×WÌSA"MRÔ-7À–Ód“l²HôÁÙ¯MoÕÈ–!ÉÙ-š~Pÿ²CRòm£ æð2sÎœ™±'¹7Ês ò•ÇApÆ%øná B&©`¡„|í²&‚Eƒ7æÓ,6Þèõg·‡œ+ÈÖîΛ‘©nŠ[0E6Ô—,"@#ò \¬©,!ÛRw–Þ´0‡l×´Uw—è‚JÜ,êÊÏ*¨­©b‚T.`Yꊽ‚t¤ +ÒïZÓß~=€Wµù~¯›æW “£Ï;¼'Æ0wotYVÖÛÖåÒE_u{ ¿]½7ô:ë Å’( P5&TC>u½(¥Uã_‰®áÜ.Ê`¼cº’ÅDSIÈ!"ŒúœI»«©/Èü«¦ Y³ÀS÷¾´ÖÒ’ð%g¡R)ø!+M’ž†cá92â*ÂÃÞP.…}=1Î#Ü »oÓ°E‘I=GúèU¡K‡zèŤ;?nêZ2U[£nwuÑj¸)6óú/h+üáiÚzg‡›Ýj¥ëT5€â«j“oU±c'œNËárxDú½¡ý |\qø÷ÃÔàâßôãôÃ"N³söÁO¹[êgåîIfï?¤ü +endstream +endobj +60 0 obj +<< +/Type /Page +/Parent 495 0 R +/Resources 61 0 R +/Contents 62 0 R +/Rotate 90 +/StructParents 19 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +61 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R /TT6 87 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +62 0 obj +<< /Length 923 /Filter /FlateDecode >> +stream +H‰”VÛnÛ8}×W úPÀŠ&)êÖK[î¶i›¶HìCÓU¡½ÚJV )-Š¢´¹CR²ãÔ6¶` +EÎÌ™sf¨,ro–çä+ƒàŒKð݃ƒ +™H@¤‚…òÆ›e}e;æ¯/7Þìå•€uï¡ç +òÒZÃ}ó>’¥î«5 +˜"êK y ç +‚%ä¶Ö£¥7ÝõC;¾ ô HE%.Ê®õ³Ö:ª˜ ­ X׺ƒjc·à=Ý&Ò&Ó_ZÓÏ~Ù%o;óûV÷ý&%0GŸ7¸OŒaö^éºn­·KØÕ7.új\Ûôð燗óž~Ê_{B±$Jd Ä/ÁÄRiÙøW¢k@8·er¼.°\Éb¢©$=d˜!"ŒúœI »ê¨/HñEÓ„¬ŒYá[w¾¶ÖáKÎB¥RðC+M’ †Cá93ä*ÂÃÉP®„­žX ç.ÐÝ·eXQ?’EW +}ôªÒµËºëŤG?n<Ëüs€…¶•Ì!¬*á½àJºà—šú Io2DXt„ëÒ½þ›ú1ò¶IŘTÄéØxâ<9kBÆd¨šâ³¨áR÷wîe=ôÊX~¤cGZþlð(q!o‹µ¦Ø9‘"ÞÔhíjE9ÛÈ/p¼>À³gÞì";_÷ÎμÅ2óvìÔ4v2±cçX”L€HLbÆΦç;$¦µ¾ydÞ÷ºùŒð¤ò?W”íÝfÀ¸íÚu‡­… + ‚Ù +¹"Ú¢PA™ü1Ö‘@lg~G·ƒ!¶ +E¾¶ÕͨòÄSŽ;C»^×úš\Ó_!Ü›Íƃ0/Ë»CVtÝ÷#ȃ{Èïá•\2l>áØÚ¡!?²y–Oáj~5Z¯ÞæÖøy$Ix$I±TþBÊiÎßaÒi×9ã^µöÝðÖ›Üê¶IÇO&Äa.”ÂÛVý¦b%~˜b?vâ=¶}~š +i+) ÷JBu;ÝàÿÕf}âŽûO€‰# +endstream +endobj +63 0 obj +<< +/Type /Page +/Parent 495 0 R +/Resources 64 0 R +/Contents 65 0 R +/Rotate 90 +/StructParents 20 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +64 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R /TT6 87 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +65 0 obj +<< /Length 1015 /Filter /FlateDecode >> +stream +H‰|UÛnÛ8}×W üPP؈&)R—¶.ÈÙ¶Ùd[¤*úP Õfµ²eˆJò°_´¹CJ²‹’âÌ™9s†>˽qž àßx 8£L@ÐRQžO9Uò•7ÎLsƒ_쟙¯½ñû/–ÆCÆ$äs·âîÁûN¦Ú”K?¤’¬ý@Ј€‘WðqåsN²©t¿Òë +ÈîL[÷'p…~!)}›ySYÝj_RNê.`UéʵûŸü-¶Hß´öc¼û{^7öÿ¥6æäNÑç/üNìÂ~û «ªvÞ`S-ºè7ýÞÁߟߟÿG~áqI“( ‘5ÊeC>í86JÇÆ]C˜3Òb\X® 1Ѿ 2DˆõF3·kü€“â·örc—%žv÷+·Z¸$Á¨’2…@a³Ò$Òè²èàblÉ•„©a!»¶ýÄb‹pƒî+Ã5õ;9k +¤Q¢¾)uÕ¡ît ©àª÷cÖ¹ÌÙ T9)ÙKX•âj/¸]ðkí!UÄX„è‹Žp?ïŽoý FB^Ô÷ Â=>ž± ìhî³ÐËØéûßåш¡¢R·l’ìïüZHKÑ›ç¡ SrGQe~BÑBUÏ‹¶¬×ôVOÎØ좣Ù%(ã!;‹T,0´aS›Ò…ž‘c£?ð¥ \aOÛæõݺÕM—ú~wöœðz<ó¤K+eò™ɼ^›ö±üB÷â½ð +mmŸ·=úËõ“8ø Äx2Èø2g å[+&*±6œ¨ô²œË —'N£}· ªc¿« Wöb#XK/²Ð8;'yD£­|ÌÒÿ 'Ÿ +endstream +endobj +66 0 obj +<< +/Type /Page +/Parent 496 0 R +/Resources 67 0 R +/Contents 68 0 R +/Rotate 90 +/StructParents 21 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +67 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R /TT6 87 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +68 0 obj +<< /Length 819 /Filter /FlateDecode >> +stream +H‰|TÛnÛ8}×WÌÓ‚,šW]ÚnØî¦i¤Htf¼2íª•­@T¶ß´Ù!)_’6†sx™sÎ5«’iUIP­‚3.!m˜(@”‚ Õ6™Î]µÃÿsõ.™^~°q &p®¡ªC$îGò…,¬k6T1Mv4•,#@3ò\m©¬ ÷­#»` ó7tã +\cž" +•8©û.whè©f‚t°mmÍ.lÁ +=YÏôÙZšãÙïGò®÷ÿ¬s„) \`Î{Ü'>ð{omÛv!;öí*¢¯Çy ‡¿>^^8úOõ.šY¡Ð5&´Ê¡ZDÔÞ +´2¸ñ¿ÄTE8ƒöï–X®d9±TsdÈ£)g’À,Ìzš +²üniAÖ>lp5žoC´ +"RəѺ„Ôàe•E±—UDBN½¹šp³t,ápŸX çN0= +e„KýBfýmÔ˜c×m#ë±4“ÂŒyÜg —Õ7€™ÐJþVe„9×2‚ßZš*fˆó ŠØ%ἎË_iš£!/à@*FR‘—Äæ{ÏÕèùͽ‡ÌÉÐli‰ã²…[ëâb;¸'eH,ߨr¬qdð/€gE„¼_n,ÅÎA‰DRƒz…¿°œ@fkÊ È< ¿Áçõ^½J¦×ó«ðäõëd¶˜'³ðìôþÙÉ"<»8`uR2ÏM +Ï.Ðóc?…×E.œ³Û±ÿ_@ìÙÏö*Ü÷ݦǶB÷‘ýÍõü‘ùXAvxø%+ lá0à4˙ΠôO/˜CW‘éVͦÀÙÖÖ¬lÝ­ìÖ}·l'&Ì–u3üg¡í~<£KèúUM‰¤ÅQÍxý¤îvnÛlT§BËÿ¦ +ÇβC+!Íî ~‚¸(â9‹«KBÆ'>”>!>”!ä>T“¦¹Ñá‹ Œ³Êð^Ì*Ÿ%ôKxÆ sÎ i°Iö7C>,îYÜÑ—psëCîÃOÕñŠ|ü Gv–£ÀvÖGŽùéãçXòs,ŠãþPÉßZœ@«3ú‹³È2c\?A>íYN€ +04òô +endstream +endobj +69 0 obj +<< +/Type /Page +/Parent 496 0 R +/Resources 70 0 R +/Contents 71 0 R +/Rotate 90 +/StructParents 22 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +70 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R /TT6 87 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +71 0 obj +<< /Length 920 /Filter /FlateDecode >> +stream +H‰ÄUÛnÛ8}×WÌÓ‚,šW]œº€/Ù´Ý }HöÁµiW[Ù2$ûMû—;$å[Ûû¶Έœ™3‡gèi +‹B‚€bqœq qX8hÃD"ÌH(¶ÑpÖ&°lqÇýµË]4¼y°i# à\C±ô–ÀtGÏdnÛrCÓdGcÉ4!¿ÁÇ-‚ed_ÙÞ²»0ûÞvuÿî0N‘’Jt–MÏê¨k¨f‚Ô!aUÙÊß‚{z,d]¥ÏÖÒÏ~;¯÷ÿÖ¶íÓä&óîg¸½¶ªj +6Õ*d_÷¾/¿?ÜLZúgñ)šeI¦5&´J¡˜Ô +¤Ò³ñÄPE8÷‹v5>-°]ÉRb©$-Ì°BB9“¦Þkh,È⛥Y;³Ä¯á|å­•KÎŒÖ9Ä/+ϲŒ€"rèÈÕ„›ƒ¡C ÇûÄf8OÐÁðØ·á/õ™L›Ò¨1Æ®K[…ª'h&…é㸋@.‹¿Üf¼”Ü!ìÊs–\ËüÑÒX1CZWA»À¦ô—áóW§HÈŽEE_T¤ùØôÀ¹ê9¿ß»”)éÊ-Íq]TðhÛïácÕµ?´!±}£ò¾Ì#=>y’…”ûÅÆRTB$’Ä+Ý…¥jï­)' SŸùÇëÞ½‹†w³sàÑû÷Ñt>‹¦~ìôaìdæÇ.,Ø”LàØ!©~ì|y~Ò“Ÿ.2i[»ý‚úAÐìçµ +û¦Þ4(+d«_ßÍ.ÈKÉqðs–g(a¿ ›¤L'úò?^""0GU‘áZ»Ùº ®êM¹Üv|ÔÕû·@Sn¾vƒ  +7+J +Ç2‘£/u‡s\êýèÃNe×ÝŒOãí³,&dIFÛrµªìÒÑÊ.Ë-Þô¾.wÝ+D¨3"~j_*ô>µßë,ë]ÛõºîéP~Æ~¡û>†³ä8P'êHì”ß<.²pŽÀÓõ +3p†í +96Êjl¤§ F˜Üè :q_ðÍØpo$c`þ0Öټˆ~“‘ þ22áãÛÛŸºU`æVìrîV~õJOÉ[=)™0®)òg”õíü…`êz÷Î'SžLå̧â…ÌÐÂQãOÖpØ?-ç"mênÑ•» +ü…¦obOSç÷p“§É ¹ôÓ+œ#çý ç†wW¿Šæ_••ß +endstream +endobj +72 0 obj +<< +/Type /Page +/Parent 496 0 R +/Resources 73 0 R +/Contents 74 0 R +/Rotate 90 +/StructParents 23 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +73 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R /TT6 87 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +74 0 obj +<< /Length 978 /Filter /FlateDecode >> +stream +H‰ŒUÛnÛ8}×WÌSAMRÔ­m +XrzI»HµèC³²B{ÝÊ’!)m©¹#J¶“ÔrƒáâÌœ9s†Žkœ$$+‹ƒàŒK°»…ƒr™@„‚¹’­5Žk²¿´uVXãwŸ¬k 8WdÆî§õ•Lu½YS‡)RP[2õÈ ø°¥B°€ìrÝ[ºh …ø¾nÊþ®ÑÏ!*q“U¥—] ¦¢Š Rvó\W°)Ì'XÐC"Ýfú¢5õñî÷cò²jÿÏt]0LH`‚>ñ;iöÛ{ç¥ñîVù]}ÕïMzxûéݤ¦ÿ&W–P,ðYcB9>$ÓŽgÏRiØø-ÑÕ!œ›Eµ9®R,W2Ÿh*I +1fð£6g’@dvµI¿kUkn𴻟ë΀°%g®R!Ø.6+ ‚=ŒE@ÈqK®"Üݪ+áÐO,†s7èn›2LS¿’¨J‘F…>zµÑy—õ¨Ťp{?Þz —É·ös”ÚKX•+ÜÁ•ì‚ßhj;Ì%u›Á!:Å¢=ÜgÝñÔö‘—pH*ú¤Âõ÷œ;=ç‹]Ò'ÍfKC\Ónt}ßæMý¤ ‰å»NØ×q¤áÏ÷‚.ä.]kŠÊAˆDRñ:mÃ|¥Ù­(' }ùÇë¼~m¯ãSàÖ›7V4­ÈŒÚ ÌØu V'%8vHª;“žõd¦‹LêZo—¨ÿ—ÐiöK‰Z…]U®+”²Ù/¯ãGäcÞaðC(a³àÖó™òÀ7éŸ6¸U‘ñª²I›M±†÷—³Ùþ¹ZT³»Î¦ +1zpLÛ÷™deQ7½žzŽÑö ½õ>œy!!“Mñ$¾5\Ý=| +¢ å`2½PÞ"\ÜÌc\náŒÚ¶Ëw•zÇÆ{>™£»A<Ç{bÍ…â¯xPçx†W'éoùÎÊí¶,`•f +¾gõýÒÖ¿v¾i<Õ?ÒüÛQ™Ý³™]ÔàƒÆï³Î¦·dÂoé+˜ÌÑŒŒù9Áí?G]xø x²çuÎäEEÃ8ñ'KàÒ'¼€eõÁÏ‚ñsÎJkq³G5ŸÜ’‰8䧻²@„Á‘Ä´Ò¼g‘á»Ïå@c§ÇÆÆÆð½y–вâ!Wÿ 0ûî9C +endstream +endobj +75 0 obj +<< +/Type /Page +/Parent 496 0 R +/Resources 76 0 R +/Contents 77 0 R +/Rotate 90 +/StructParents 24 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +76 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R /TT6 87 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +77 0 obj +<< /Length 962 /Filter /FlateDecode >> +stream +H‰Œ•ÛnÛ8†ïõsµ áMRÔ©[°¥lÚn≀^Ô{!ÛrÖ[%$}¿Å¾åIœZF JäÌ|ÿÌ0óÌ™f™ÙÖá 8ã\ûà |&"±`¾„ìÉ™&uë¿èŸzýìL¯<Öà\A¶6–@w?o$-êÝ#õ˜"ÏÔ•, @ò|z¢B°ˆ¼”EcÏÈ!ùQö͸ÅsÙQ‰‹uµw“½ut¨¨b‚ì­Ã²,*Ø=›OpG»@…Žôµ(hˆ{¿÷Á÷•þ{SÔõïè&&0Ã3áw¢ +ýícQ–{sÚ¬Êõ¾mÖ&<üùåzVÓ¿³ÏŽP, +"UcBy!d©ÕÀkÕ@)ÿI<êÎÍCéŸsÄ•,$•¤†#„Q—3I`nVuÉ¿4"[mîð­Ý_kc’p%g¾R1¸>+Ž¢6 +›…M@È©Wî·†²]=†óxÜ5¦¨ßȼÊQF…gŠí®(mÔ¾“ÂoÎq}µÌþÕ˜oZIoB*_øçJZç÷u=æ“ZGðH‘#t€ëµ}ýuCätAET„ñI²a«¹×h~÷¢]†ä°{¢1>óî‹ú‡}YêWñ}/n8Ð4úçAd]¾äÅÎÁ‰¤¾.¥.XH`oV[Ê ÈÐx¾Âñúïß;ÓÛäS +ÜùðÁ™§‰37c§Ú±“‘;û@:)™À±CQÍØ™ð¼ï'3]dV×ÅÓ +ûÿØžýºÇ^…—jÿXa[¡úýê69É@œftƒ³8Â6\*Ô'‚Є71ý®ÈMš,IÊ—ô˜-Ò%YÌs½¸»_’„·ÖÞd¦×üÿ 0«oEs +endstream +endobj +78 0 obj +<< +/Type /Page +/Parent 496 0 R +/Resources 79 0 R +/Contents 80 0 R +/Rotate 90 +/StructParents 25 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +79 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +80 0 obj +<< /Length 1040 /Filter /FlateDecode >> +stream +H‰|VÛrÔ8}÷Wô%×®=’,_†¢ØšÛBXf¡’)x€}P<šmÛXö†ððû—´ä¹b*U±.VŸ>§ûÈ3]y£ÕŠƒÕÆ£ÀhH9ýƒ‚ˆC–³0æ°ºõF3“@npÇþ™¼ôF/®l‡(°Ê݈a¸;ï™+£·~ +Rú~BžÀÅ­ÏX˜‘ºPû‘*[0ëL[íW`‰ç"¢}Ž“¼©‚YÕj_„ŒT}À¢P +èÒmÁÿ¤,Ò{¥üß½9WýÿZó;†˜à™¿pŸØÝ{©Š¢r§{À¦X÷Ñ7û¹ƒ‡?ß¾˜ÿŸÕ+‰0K²U ™ˆRXÍ{ +¢ƒ(¥SãŽG#B©{‹ñJ"]¦Dùœ˜!BBB? !'0u³Æ‘7ÊÏÈÆ5®öïn´vIœ†±cb,Ö8ËiôYô 0>²â +BãÃ@ôŽõD2”&8Áã£áŠúL‰2 +<£6Z=ê©DÈY¼?Gí Ôrõ¯}!Œ]+Ù—UÌâ³à‚÷Á/•DaLŒEˆˆ’H:ÁyÞ/ïü EAžÂ”íAY:~lzÐ<Úkþ¦¶!SÒê[ŒOYÀ¥2]¿X´æéÇÑxÏãp§Ÿ žd}ÈZn•ƒ)îǘol –¨ÜlãSžÀU‹<õ瀀¥ƒEöÑý²ô§"ƒQ-(itqj­[µ@ËТ4LŽÔÈlrµ€¼ÚÇ5•Ä®@?©´X”³ÆüR©‹bÖC¶ª¸2!€sW³^øI¤E)¯ l[•FmµALèê5â +™‘±Çá~Äc̬Ñy%T5^Žú rº¾‡w/ç¯QÄÛZã‡ujÀh"m=~iü™Hô’+«vò?kä×èë.'Ë!ÔoÅ4:+]pø!ânÉOnœŸnñºÔøsê4jog4·Â +¤¹/ó]S•UgPqyÞ‰ß<•1ª +endstream +endobj +81 0 obj +<< +/Type /Page +/Parent 496 0 R +/Resources 82 0 R +/Contents 83 0 R +/Rotate 90 +/StructParents 26 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +82 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +83 0 obj +<< /Length 997 /Filter /FlateDecode >> +stream +H‰„UÛnÜ6}×WÌS@MRÔÍز›8­û@ +$}`$îZµnµI›ÏÈ_ô/;¤öêZ. X¤¨9gÎáÌìåÒ;[.pX®<œQ& ˜ dDy +<ã4°l¼³ÜÄP<±¦h½³w kãac–…[q„ûî}&WÚTk?¤’´~ hLÀÉ+¸i|ÎiJúZoWºAA¾1c·}·’ʸ)†.È» h|I9é&ÀºÖT­;‚þžH[¦OZû ~ûx ïûÿ7mÌk„É\`̯xNìž½×uݹè‰p¨Ë }µÝ;zøåîÝ…ñÿX~ð¸¤iœ†èå2L`y5yîÜ@+ÿ + cî!-Ç…rMˆö1#CL¨0*\ºÝàœ¨Gí§de—¾¾¯ÝªtI‚ÑHÊ ‚/+KÓ]SS\œYs%aÑn!' ûûD1ŒÅ¸ÁðÀÉp—ú™\ +m”£W•®'ÖCH*x´c6½\þi? ‘+%ûªŠxt.Å~¯ý ¤1–!$Z¡è÷ÅôúÁ4äö¤|KÊ“ì$Ùdçy¸õüco!2VŸáSÕp¯ÍfzYæ‰ ò£0Ûê@áüsàq:Aöj­}¬L‘?Â|c{a ÎíV># ‡|íuoÞxg·ùÍ0ïí[ïò*÷.]ÛÉ]Û‰ÔµÝô@u<¦„Ä¥m;GÏ¢}wÙ<ÈÅjÄÒ·eSÉ~êl©¢éHz}›Ÿ‹Sâ}¿ ‰¬ÒR&«×掎ï…o —¬µmÓA«º2cU@SÙÎ,:lÊÎvâù }xDLšeV!g©e%×õª-±›Í8lŠ±êZ0zœ”ÏC +•’¾ù½J0Õ=ÍGØæÿntÓ +ÿ/f<ƒ™ +*÷˜ÁÁö#×sÕ‚*K(7Xº}7ŒP¢÷Cõu3êî/ná YáT»½¹[À+¸¿Yä_ü™4ÒçÓÄbq¿t÷n·p7tŽNXlz›Š™»ïl†(ŽŽ.‡í'ÉkeLU BU›fS«%•ŽÔ @M׫%f? +èAAÑ5=ê̬TÎfRÈÄÑ5>/ö^¯Ñbl®±ÃZß®Ÿ$ÓTmìÓxí|!þ|6§ö¡ÈbTÅ#4ªx¨Z½cªZdèý²\1C q¤œÊ¹b{·(dƒ¿Å%ôU¯kä6s43,“ÈVЋ®¾¯ÖPëoº6Э WƒÂ‘ƒ¤9‡9º™&XèêHÊ'u„§›£q„D-üž‡ ÁÚù­Rh˜5 Ö¯u‡fcºú¯î0-É +endstream +endobj +84 0 obj +<< +/Type /Page +/Parent 496 0 R +/Resources 85 0 R +/Contents 86 0 R +/Rotate 90 +/StructParents 27 +/MediaBox [ 0 0 612 792 ] +/CropBox [ 0 0 612 792 ] +>> +endobj +85 0 obj +<< +/ProcSet [ /PDF /Text ] +/Font << /TT2 507 0 R /TT4 512 0 R >> +/ExtGState << /GS1 513 0 R >> +/ColorSpace << /Cs6 508 0 R >> +>> +endobj +86 0 obj +<< /Length 1049 /Filter /FlateDecode >> +stream +H‰|•moÛ6ÇßëSÜ«‚&F¤¨§¢(ÛY›.nƒÔ@_´Ã È´£E5Qj»¯±õKì[îHÚ²ãEƒ‹âýîþ¼;ÍVÞÅjÅÁjã…ÀBrÜ#S–Ë9¬vÞÅ\'PjÜ1?]6ÞÅ› ¶ÚÃa(`UÚCsß¼Ïd!uµõ#*Hãœ&ü„¼€ëÏÍH[ËýH6=0t¯ö+°Äs©|Ž“²SÁ\9C}ç ʈrëZvP5v >ø#HÒ')ýß}<ÂUgþo¤Ö?¡™œÀ%žù÷‰˜½·²®•=í€]½vÖ7û¹ÅÃÏ·o.µÿëêÇÍ’,BÕ(Q +«…Ó :¨RZ5þáx4"ahÂ0Þ.§)‘>'æHHõƒr3;ëü€‘âQúÙ˜a…«îýÚŽÖÖ‰€‡4"‡ ÆËʳìà†óÂ9Àø…W0> „ a¼O & œàñÀ†a/õ3™uÊ(ðŒÜT²vÔcÊY¼?š¨åêwóm*™—0ª˜Å'ÆwÆï¤D4&Ú"" :Áyé–ü EA^Âe{(Kó'ΦÍ£½æZc2%}µós|5ÜI=¸Åº×gap ?Žò}h‡[ý¬ñ$s&Ûb+}Ìt‘p?FSsa)eg?$ÀSkù +Ëë^½ò.–óë„Þë×Þl1÷f¶ìÄ¡ìxfËÎ=0:–P<Œ(KLÙY|Õeü —›Sߤ!¸”ý¤0U¡TMÿ×Úhì«åü Ÿ?åeÏÂ…!§“R[í–ÊÆø÷Ü›«%û-_^¿ù½-]©¾V Í åT”j-A·E)_NøøqJÏñŠP”03xÌŠV=ÔJµzÂxÞç˜/ظ„°qŒ%@Þ*”ÈÄž¡ûn({t_ãôCµé¡“ÛJ£®S¼x‚c8òžÕírèUP5e'w¦ÛíäNuB±^wØŒ¤”Qåƒõn‚žLÐ3NEvíÐqôÄÒ±|›ò1/ ,êZà«f‹=÷vº/ÊÇ dú<22_ˆ#ò(ðRC }q_˧«ú·nõn;µíŠÝ^‡ z6ARš<•[Ö‘;ùÇP¡´À]b±Ñ(p4Áš¢Å”Fç!4kwâÇüÙz$‡kóŠf +÷µ*áîr9nï†ï‘±p"´œ›LrHþ>©ìXÚfï=êº3_NÌ"¬·b*U{ž ðKq–-ÿÉU.‚ûjÌÑ1i§H|‚$²ç“ÄYG‘¦ Nô‘ÆöÞÿÏõEµ­ú@ËZ–ý> +k—“_ÈF +`]eêmÖmËî‹?åËD‹‰±;óÑòQ~• +b·¶¸Ï¸ØÅO¨éõß +MO6 +endstream +endobj +87 0 obj +<< +/Type /Font +/Subtype /TrueType +/FirstChar 32 +/LastChar 146 +/Widths [ 600 0 600 0 0 0 600 0 600 600 0 600 600 600 600 600 600 600 600 600 +600 600 600 600 600 600 600 600 0 600 600 0 0 600 600 600 600 600 +0 600 600 600 600 0 600 0 600 600 600 0 600 600 600 600 0 0 600 +0 0 600 0 600 0 600 0 600 600 600 600 600 600 600 600 600 600 0 +600 600 600 600 600 0 600 600 600 600 600 600 600 600 0 600 600 +600 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 600 ] +/Encoding /WinAnsiEncoding +/BaseFont /HCEHBD+CourierNewPS-BoldMT +/FontDescriptor 88 0 R +>> +endobj +88 0 obj +<< +/Type /FontDescriptor +/Ascent 832 +/CapHeight 593 +/Descent -300 +/Flags 34 +/FontBBox [ -46 -710 702 1221 ] +/FontName /HCEHBD+CourierNewPS-BoldMT +/ItalicAngle 0 +/StemV 100 +/XHeight 437 +/FontFile2 89 0 R +>> +endobj +89 0 obj +<< /Filter /FlateDecode /Length 32020 /Length1 53424 >> +stream +H‰¼VyTG¯î9ÀᜅáR°=2@÷pŽ—É ÈΙ+ÃÐ@'sÑÝ€h’eÆq=0€×(¨A%$š ¾àêzáqÕ ÝÈz š +º+/hÜjXDôù²ìÚõúõ«ïûÕ׿ªï*€\Aàl•V=3Ÿíˆ’^$-iÚ0™ëÜp^õP–e0é­ý/Þ ý"ÈeC1‹ÕÞ^têûpèͳæ›ZJ•Ëè†ó¦|ciÞÚšªt˜žRŸ{ôݤCt.„ö¢ + À©ùSWÃùä»rL,†óD£Å G§oó 5ÏOLú…V—<Ï;pýˆÇÌz9Óßãè8ù|`µ0,Ü|:Z8½•&­W+Zº ðH„2dpp_ Ù¿ž`ð‘¬Áí’„c¦•'•?tAÐz»ÄEï£B¸âÎBÇ! +*<[( +"|Ä"üz +žŽKŸ‘ŒÛP6Ä +Ž4`F@¾3¸c£íñÝ´Ïsz|`voáÀ“ê¿$ﯷ»ŸÁíh´íˆ€rjGp¾öV~Õ!“x×gýÛÎœ˜&;ÖÓ×.ÝúgAßïêoîK[ð×α­´Ï™ÆE¯oX[ü©ªâÊSrÖ6ì' œo¸âïwáË­<Ó…ò´o¸«¯~Ý-òJ%&‰Ž?©« +ÇÚ¢«;[ÖÏ™”­9ýp—[ó™b‘µb’~ÏÒÓ‡/7wX¿^Ïiþ§Xt+‰>¹´9zÌ1­T´fýI>2ÁTÁÎÏ¥KÒSgÍÂ+s6¢’z‚g®»§õ»ê’qú¬á‡ýxªÿ’¬º®ä_xßž«4]õjyÏÍɪÕá7–­¬É^7w3š%~£JïMqí϶ÊÚŠõ’ÁìÚb[ŠÛ>À=àÉúOá;ã"¡#¬¸ÏOã„? ç<è”]ž„”÷' ‚GâáÃ8ñz­€e­Ìô°°_ðï`)ó÷à;âBy‚"wân|>|.i¸<·gÃýš”[&üñ»ûå÷Ïá—VøüÔü¦ñ×í~ÛÕyªºâA†ònH£tSáñã»yÄ”Û'Å“%¿g¾¿”Ü6s ÈîbïÞÙ¶&-;ÏPž”{5p,½cÁœUâîƒèr*ókßÀˆ&Ÿ²ôó¿‰Kx¼B—¸¬ýÄöO|Ú™Ô©·‹êàë8”çîE}«ú·ô˜ÄšµžkÞ$ßz>Í_MÀùÇÓD%ðâið#óÿï$þg =²©¢ò)þP­Â”F=Ã`áX–Bh )ŒðÈÒ©\=KYÌX±ŒpÂÇpë…h¦–ðÀÅÜÄÑC4[ÏÀrÀZÌ„;î:t2×d1çø¸Á"â)1¯„-ô Ùa½ÓKô¸mà ír9,ðK¹vyA}ûÉ~ẩ19Ÿ7eV­Ù{X³ÙoÓã&Uâõ_]_¯?Û¼/?Þ9.½¤u—ë½=ÛÜUæî{G&÷ïÚ0±»NM]¾Ö‘uíÝgʦŒ½¶÷'σ¯±mã+Åg·qN +™ß,Ÿ_ýÅŠËs3MG3Ø`sã|wó£éc¶ß‰]’1?µ Ex[ì0²O¦Š?›d‚zÜVÃ;mìñeî‹?î>«|\P{?¦ÝÛçdßdxQlñê5cŇUï{âÜÅpäâçÅCÊ•Óy³:Ž³5ë‰P±ðæp–J‡–V1 +$£ËŒ†¾XLHLÅ ¡g“ÉfXõñºÎ@§adÄ¥cÙê3‘ÞîŒØ…éz6õ±ˆƒdc§gçq(ÞÂ$6•7/=¥3 +Æ”$KÌØft¦}œH5K ”{ÛîôÏõû5aÞݿИ`ôNH4àÐÍœJhÆD9`Ãë@¬¶/qL䙃‰Tü™ý3Cïl€!ÏþW¥qòo {öw{Öö¼~¡7ë)cÇøÉƹ³ïúËÆøJ_ÎìoNìëÓÙȯ¦ƒâVzy”¼Ü¦¤x‹ró+wVêÐkkqé:¯âÿ5GTùu‡uv.+÷²÷‡:=“:bUטx#öœ!d«û³‡+í[ý|WÖ¸ëOÉ·Ïí>°ÌÖj{¦¯þPäô–¨Ov$ Áíµ×£ã#׉WÖªký=š}Œùç7Îx’ôä=‡U˜Ó´ôO[Míkì¾}îØï³mæR°xTøs³Ki´ÌÄøà¦çM!dÓÿ{÷kNÁ¬¬;BI$P¼â¤Ôù…•lhYïœÁÃUO«¿¬Ï>/uë!ddöÌà˜ÚÙ1^n§™Œ³5k}GJã{M ›)勽r=@FH‡H=>YPã{1¾ƒ°!Äã—¿’!­äíÜáf tA ©û„gÁ@ZJº>mÉ"ý;}xÌŠÜ‘Móä‘e=ÿ¤µmÝß~ÌŠ[s?”.NdÜN®QåMZ~øq[^x K^§Ô<>g[pÒ‡³þ~ó»jÉå¾>¼`mÁÙï½ùÐzͽ®s%Î~ãžÜgíZ$ÿ¦ò«¢=mœË/!ûÇ7ŒZ,Õ¤n?¥yxÖN¸Y²dئ‚²ÖÕÚŠJÙc+™‰š€]þf¿¯8"]±]æ&uít³Ã oò)éÀj}ÚbcÊ‚nô#z(“,y(iž¤YF¦91iÆ4™ŸÔ§s¶§:¬W$Ê +Nƒ¡èeŽöwÇ÷ uÊÚËÊwx +:YMì벌ç]ûàf–Ô©7lú¿hô#ˆš#Ù Ë(ÀÇOæëç/ +ˆz5ÿ¾u"QWy¸xíøQmA1;úúÓD ýVÐB§QÁc¿ýÅf>?ºùЖÈõ3î>ÝÞvëí¨¸Ê‰‹¿¹›ù ÷ƒGî Õˆøœý¬ÎuONQùêxmSUfcmèçž™Ï +Žeo•în´Ÿ~ã¸Â*ç<æú¯ÿXï‹åu6‡"#œŽ¥¶Ø¤Tý|WêÑÌŸ{¼E[Ùz-Çë¢àSW6ºÖ”í‘_í9´Jò‡%Ñc*æ<ºh€p.);óØ9ëri~ò„æ‰\ÌüĽ­?N¿½t›#Uuç'½ýì‰6§[³ohªZnžHÙmš(±bßZ‘.95œûâ|Y[ÔÓ‡l¾æéÕi©÷·Øånu¼ã#ÀÞ“ûN(?rÍ/l<ðG˜zª$’­_lºv¾éX0Pö ÕÏʆ¢$Ã,] –ŸµùjL6bL±b»ÄNà¬öP “ˆ¢heîaÝ.øJÇÁP±¹«Þ–[Æß:¨k8¹¾«vþ POFcÛ\¶À^|κV“|âléÝ{ð¹ò`39@Ã;$öƒ;ö7'Ì„",Ï°eu8^/þ€y xËü1ØW„í3$›r¥Ü€‚zf$4àÝᱧË!ƒä'ôÈ¿9Ô Q ZXÛ¬½ÄJ“! ²`|J‘áb²ØVà€ksb¹ø%Äâèa8FÐ&[Ü”:Ì:áñfb˜óß ++E£x ÀØEú“a + ñ#`@ Ì Ú–qï…[âaäï r䔃«n€Sð5ü@‚I3JÝÄ âuèï#m!¡± &Ãɲr¤/ÒÏÑ»N0©ç`FLÀ˜Xö£”ˆG‚©`*š*  +©Óô&&›YŽžÉãÁA<Èd2èÈ>r‰\Bk-¡³t6zÞ3.*ˆF}ס§¾´HÝ_41#É&ŸbROnSghž aˆ1ÌêÚ£½†Áh˜„xôo%TA5RßÆQv_ˆú™(•AûÑz6E¯§ËéËLS)ø ­â*±D¬¯Š7ćÈφÃX˜Ž–æ!–¡ç6@)r­…k𘌠A$™˜ÈfRJJRC®zƒÚGûÓ›è£ a&3…L`'ìŽ DNœ%¶£~q° +m;a"îrk&S‰ŠÌ Q$9æ“5¤‚œ&ßS 5‡úŒEH/¥—Ñ…t3’YÊ\‘dÑÂ&¡Z”Š©(qxeÎ0¦¡¤sq¯J†E™(sÚÜ„’¯²”µ¨Á\ós8Žviï¡Ø7È@âJ¤XÈû¨U$I#&!eä¹G~¢Jâ‰ÛšJ@–Pg¨ê6ÍÓûéºn`˜P&QXÁTJ@bg5Éú«_šÚv|ܱU w!Zì'ºˆCÄ©âAñ´Ø$¶bä²à…¸TcLeÁzDÍ1ôÔDàh¯úØ(®#>ïíîÙøóŒñùãøØc¹sþ€Šc \|¾ÃÔ|ØÆ&w€ªõ1¢¨JA…bšªÀâ$jD)¤…†QyçPÉŽ ‘FQÔS(m$ +%JIÓ$'*¡-öm»w6v+µý§;{ïæͼyóffg.á®oÒŸ`C +ì-Íb>¶Šmd» éïAׇÙK€°œÓlppžý’]‚ö¯²ì&»Ç`¼ÜÇ+!ñF¾‰›÷ñsü"OH™’[Ò Ï© :Ý)í–~†3\–>—îÊ9r¾ì“Ë]òsò)ù‚üŽ|OY®¬Ržpä9zϦ"Çýxb¹_€õ9‹Âÿ³ ñ_ð7x9<"þ€½ì.½Éjé&•ïì¢áGó û–ô¶ˆ=ÇŽr‰waìy:BG¥—ÙþõÂû+èS´Œw³ +¶‡OE4ü>…>„eÄá/ŸóåÀã¸é"ŠKq¶þƾ`OÓmœEçô»LUl«£-¼”4zŒÅaax”€Ì” +ˆ·X±WÞÏ?áûÙmZÎlËÜËÚè+…½ÅÙ:Íÿ U>+ +ÃKK0º™;ØvØæa.Óqþl7?[ +¯8ï=?yRÏ¡Ç(Èšˆ±»lå±½°ö¯Ã3÷BžStŠH ì6_µóy°óýôˆ7H³è¤ù ½ÆÚáÇgX¦h¥ôW¹_Œ!yš2y¢®™Mô"–SºNõôÛ‡¸QOï22·˜ `q3 +9¿KÝÔª<¤LG4nã[èBÚÇuGc¾ƒ);”N¥YiP‚Ê"e¾Rªx”b%WÉoËïË—ä×äcòwà»rœ%]GüŒI¥}Ò7¤UÒ2©6‰’‘ÿÿ…ÿ™ÿž_ãçù þ$ò=óMó Ùh.1™ù‰DâNâbâç‰C‰ý‰g=‰m }äõá÷‡3þ)ûräâ×öVâ¾ß2×›+Í/áoSÌçÍ%‰«ìYœÑK#ð¯_!®>{9ÝF἞9)Awè4tüAêƒ=A:­s´ÐÜ·žùTÊ»k£'á®&ã ° _‰;ÙHQz6¾´¯ÓËæQ©kÄlg9Îßfjâ%š(³ߧú-¥OgèÌȱ[Ÿã8vtœ ;Ž¥{†‡×µ¶¬mnj\³úk+–-]R³¸úÁªE <ð•ùó*+ÊËüsKçÌöygi3=êŒéÓ¦ºKŠ‹ +]Sò'ç9ss²³23&¥§9YâŒÊBZXW…O²O«¯/·úZmãºPA +O#TݦNÀÈMÿ22Éœj +Õ”—©!Mñ:M`ë›"ÀŸ®Ó¢ª¸eã«l\öÙlt<ÌPCEÝuª`ºáÇ»^‡õb™A-Ø•Q^F±ŒL ™ÀD¡¶-Æ +—2á…¡ê§ôlH%J´º(Öê,„ä +µuŠÆ¦H¨ÎíñDËË vhí‚´Z‘ë·‡PÐÞF8‚"ÍÞFÝl‡ö©±²óFÚuV§ÖÙ¶1"¤¶¨µGžûÖ‰Â,ºßÅⓃ‘Ýã¹nÉmV­®aìVÅ‘¦Èx®Çj£Q¬¹ÜÖ0¶îµ´XT A,ñ­£$Õ¥…,Šþ¨*&iµZ·ñ¨Ž )15o÷ô—”ÍTR–ˆæËÜZ´­njl +ÍÛ_)¨Å9åe1g^R›±œÜ’•=éãÙ˜=ÜšÇÔÉ,‰´0¡v¨$¢á UVÓUEFG†á‰2̸†ÍbRP7œÕÝš/¯SS;„k×n}6‘Ò–¢8¼N8ég–F-Œø£¸ðûÅܹ–]¤q‘q©Ý_X^öøkÛœ*þ >jŒ`Z´º:÷x¬[Ý7 vtDOS$ÙW©ÝÝOJTpÝâœå´ZœžQÎØt]ƒùžÁ‘¨@¤ûÆÞ\§+?Ô]-˜ë?°»’ü†µZCÓúˆ2ô”nZ&ô’üª1^ +ùÁˆäæ)Œ»%› KÜ86ØêD²„ìÅë°-¹s -¦hS˜N½>ÙF3<žÿqÒ€9dͲÿîOK‰)ªýû‹'ô'ˆ—eHXöñ†–õ†‘1QôÕ~‘哼° +‘í96žïíwå´úU‘£{@rÇZ«aÎÖÈoÝž¨QEË\D–š¢¡Ê¡Ñw™^Ø«Õ*öZ¹v›m/Zà…Þ"欮ypIeÑ!kX†×Ú>×nÓ½Âéy6îòöçYäÙ{Ok­†þMKgÍ—!×~ ½¢Ø[DΚôaJÉbÇÁ’ÊoŒèåyÖ«x[#Âa«×c…Ñ”¾rì-œö›\¶~+ÖøñÂK£»’žéIN÷`ÉÇœ+——iÀÈÆTŸ†Ë(Unè5ªÜš':`šºUmpÝ«ZlCª‰µs-®Ou#è¾(¦IƧÄ0š6t£mÀìi×T§f J.Éel é£N:`¾ºÏ-½QØe7«FâTÓØž¦X€íY»>2è$R÷´Dú‘«õÚhlx‘A•(`SùÕê©V”ÐÏÓm–{0@Ôcse›`÷;Ù´ôQ£Žž¤9mžr²üÙqbä ²À82yÊ‹¶‡$9Ž*‘¬vî|–¥Óä­?BuöŸF_ofGé,{9E~[i7DÎu +¹Ü ºŠŠïó#ÔO-t™Î ÒuS€¦¡’èD–XŽ[A©3ß5‡0f²Æi¨|šÉeþõ‘ •î– “È~Ž›§Ù1ŠšŸ¢b]JµÈ\j©„zH jÜe^§hyùßd¡/`}BÕæÄX„3ä¡;ÍËØ=@Óí\ÈTïÃ7±VzR€ÕÆ`z +Î!?:‰½;ØBÚIÅæ?§PmÍ¢RówXq5ã¤-Øs2Ý Ñ +JC-”K3Á¯ >vÖ|ÇÎÎvBæåÈJ7Ù2•¢r½‚¹Q¥^B4ç?dË~w²ÐÎ5dÃqp.Ñ̘ný})(µÁeC›Á²ØLÔ¹7QádÔ¸3¨gzš9@1Î̲^ö±Y]u?/}Y¡Øö¢ú<­Å +-»¥¼¹ËKŸ‡2æF[:ºÑ íŒmo1^ÆB³È\ ±‰F¬YZÔd,L^…%B.+/3Õ–ÙS5QÜœ…⦒ëçœÞ‡Ò…%ûÃ{ò9¿{Î=ç÷=ï÷ÞK´Õøßî›…s²FN—/_èÂÎËB|šÒFÆ°À?¨~‹ær½[€‡\JñGÀÈÎ0ЖZJ5YŒŸ¥Ìˆájh…eó])ªY)¿ç¿÷„¨óŠŸÊñ´CÉç’˜˜U2E<î_T¹RúWÕ$5ÉÄÃ$ƒ|NM2¥‡SŸuÿÙ¿¡:cºÈ +8ÀîWÍLÓ’(»mä5‰Næ´Ndúþ€+ç°zx^,‹Å9ËŽQrä’£dˆÞÂ4Öî41–qnE ë9‡Õ1=9ž¬¢%v<“c:<ž;nŽe€ÿ~°Þdçé¼]‘÷É·M~óœÝôWôëØ_ÿôÿáÌßÙ~Ù)úHß ¢ÛîÔL;Wf—æàÑìѵ´ãkìÓÅ´¡ˆ]ú‰˜(~Î?F53¸Qöˆ‡ùëš*gÓóݤž%´¹\†iû~Nƒ‡“ +Ñ 5wÕÐ@»}z¡WûìY ØÉcð=VÚp6 —+2ø#2³°T¤ú¤ES`;õLÉbzšÉ{ßïóÙ)ŒŸÉïgšlýèï8tï$súoê,ôÿ"fÚóee~lO„Cþ¿Xõ_ÆÃTQHþ"1™¾-W“åƒr±\¬ +ä¯ {ä +Ôlú¹G Çä9+8ÿ–Šç¥+|zžÇª©á/þ!ùEqFÜ-v‰ãâ”Ø-6ñ‡ÖÈHoà,™/æËŸ0¶.k¬FÔøÝ~7åÖ!fížGü>d}¾Æ¿ÙM”XÁ³Sb•*—»ù-Çåqñ2Ù'¡Oî‚vy^vJóoù;âø…?ðÏ·™’ûÔLùgQŽ¸*þ,3G^ÔºbÃIÙ-Ëe¬·ur13:|¥ö=ÖŒ¹^O¼i;‡>¿B{_!¬!ü’ðªø„ýVä7ÊhµË'e{PS¶Èòºd‡|Ù¾áÌýqúû'ù]¹M¼%ÎÊ—äYÛNódû ²EνÙפ}OtÝÎÊÍr²ÁŽÁ­ã02z”ýôuP¼;Ê&ǶY Ês}ÝiôêdM·±öMúmÚj.úcû2‡•m®ù¼¹šÙÍœÊ ?`¶Yì¦-ÌæyÆ=‡°K6rbçØYofckÊ“µše?«à$ïºå&yŠÓ7CåsW+¶q’üלÆ6T³:†ëì!¼&ÞoÊfÙÌò-Þ(ãxßmur++ðéá°L´È1âCñ!³ð'ËdÕ-£³RÖãgz[IXÁþHȳÌ×È2ç)禌ɕÐAˆ±bò(’8ÍWÊ•z ß,{ý½òûòUûta¡H|,ï¿Ý 1• •ò^(J¾??/£Þ·#ùÎH¾>/ŸzsŒ¢h$Ø6$ýߦ¬Ìf-÷ƒ9 38a—²‚ ÓI/æ .Ÿszo²2Úl|‰×Å£~·¾~ÄWÚ½K_ç«3fã,bÊ Úá ¤‰ˆ¾ÏÈ,=F½kñûÝÒès'æñÁц­Á’>R½´4Í%£ *Á<ì…Tü^ +S5µk(\0drpñQ|¶kU>Š/y¤4ºÄÜÑÉÖööWýY`_ì®À¶¶1°µ­ ì‚ÀÎì¼À–vz`'6?°áÀ†¬½¯™£³W¸ýwÑ +m Eñ­91è‚CÐ +½‡+ÖCŽ¾b=\¦üeÊ_¶.ʉA‚nèÕ—ããÃшþŽ(c« …ZÔê Vµ:ÈÄÙ†ˆ@¤ñd€'|R +ê>qø%Ά0”@RG¥´>¥êùL +é_¨ñU!‡1ˆ3áq&››­xbö‰K U¢T‡-³O½#ŽÂÐ굞Rçã3³BÑ꼪µés„³„3„Ó„4ËrÆöê4m?-|ТŒüh…tC*£s†¾u©sÄqÀ”?#ÚáhžõÀr¯zb)vªb»:ŒÒNµ¶Ávx– +´S= +›áØbsZal„M6‡ßÅLJjÏæ4ÂZXëÉñÐXm5<4<4<4<«á¡á¡á¡áY + + + +ÏjxhxhxhxVÃCÃCÃCó¢!‰·Â6ØÏÚü§a3<[lN+l€°Éæ4A3´€gsa-¬ãßµþ]ü»øwñïZÿ.þ]ü»øw­ÿ.þ]ü»Ö¿‹ÿ.þ]åNq£>..®p¬€ƒ€ƒ€ƒ€c+à à à àXÇvÀÁ¿ƒÿŽõŸ°þøOà?ÿ„õŸÀÿ ü'¬ÿþøOà?aý'ðŸÀÿ ë?ÿþøOXÿ;ÕÒ~8ÀâÚ©ž„U°ž²Ïë¡ž€oÛœÇá[°VÚœGá1Xu6§ePk§~X‡Îj«ã¡ã¡ã¡ãYÏêxèxèxèxVÇCÇCÇCÇûëeÓÖuÆñs®¯ãà +6¶¯ +FÁËK±1øbì²ÅK®IÀ$C 4M Lm:/ýuÝÔÌ«´ìÓÒM]Q«ôšìÅäEãä~œT©Ò4Mr:Eš¦ +)ÒX”%!Þÿ;M²¤R>ì˜ÿóœûœ‡ó{ì{__Æ™gœypægœ n…Œ¥6ËqhšN°õ ( +MBS,r: +CÇXd…RЋ Cû‹°‡ •$ƒ$ƒ´—‘ddddF’A’A’A’IIIIf$$$$™‘dd¼#™q"à„Àà0;MC3Ð ¶6¥¡IhŠEŽ@G¡qè‹Œ@£P +c‘aè t:Ì®»YÒÌ""""cˆ`ˆ`ˆ`ˆŒ!‚!‚!‚!2††††È""""cÀø3cÀ(€Q£À0 +`À(0FŒ0 +ŒQ£FŒcÀ(€Q£ 2¸7éÜZ‡.¹n¹‡®¹„ÞXF\D¯L£gFЃètJÓ†¾hE´ OšÐ/è +/ºC@—xÐ-.n{žÀž3ä~¿UßCõ—Pã2j½ˆš§Qû*D¥¨8ŒÊÛP_+êlA½M¨»ÕyQ¥€j=Ü°äp]¸;í~: +‚Ú¡PžÖI]øetZ†¡0Ô5Aò@.ˆÔÔà ËjÑKýµ\/‡ßx˜»Áì™=Ïìw˜ý&³ƒÌ†¤Ú¤éFÒôäi>išHšRIÓËIS(iºF’ 2þ&ÕgL?͘¾Ÿ1͘öfLÑŒ©?c +fL»3&sý' +#ñ}f/0ûÕâIQµw™½Éì1fÃÌz˜uÑðª‰òôß«B/Þ÷æª Ãm¬ +Sp+«Â.÷uú´”¸é/W…cˆþbU‚›]ºàN¬ +ípÑUa®ÿ×B›ûž×R©Êý…pÚý™°×­A÷%5¶ê¾È–ŒîÓBÀ=#4»§Ká‘’PÝoݽÂGîÖR¤¥9d3Ø Ù<]“:ùì§|6ÍgÛøl€Ï6óY?Ÿmà³n>[ÏWë­z³~»~›¾R¯×ëôZ=§'úê|ñ¦ÔBð +^­3«N§U­–ÍÍœja` GõÙKÒW¹^üLèÍqÝŠM“àÃQšPÖ“Ä”G¹3ìËÓÊcJ…/Jk‚$F ö„âN(ÃÆFó\¯r6–ð`(Ž!v¸K)~6ÍS‚yGy.a*ÏÏb>Xž#?¥ty¾8¤ì $CòÈhŽÒó))Ü°ËÁÑ<-ª¡sNÅ:0ºF(uŸ{שúâ¹wS)R³±G¬}–à˱ç˜tÙûã©ÊN¾.ms_æÝqÞÝÉ»}¼O #˜½Ìgã|'¢´×+ãJ±o¬íRÑó«}¬z{ÆyUKè‡ÄH)Û|QÅ©K­ý­ýê_]ÚŽpUyÉžéœWé‡å%3Â_” žñØÿÿµÈÆ ŒÉ$Öíñ“±'ÿXS ø ¼öåF8ÂÆd¡X\|ÆÒ¶tSº%=¨I»Ò·°Rƒ7ðT¥>õ¨ÏW1ºHpñ•?ücy`—Ò„¨Û5‚½iÉ©%b««„h2Ø$E_C"ÌsÇ£æ‰úý S~ëñ¤GréøÛˆUhokH¥®â¶FÃÕxímJúÄ{`¿y3¼o+¼ß|'¼Ï¼&‘ðVXU{›`,0¸ +Íú©‚Ü'í:»üJsMû9Ñ ‰JÞí"•Å]¢rÅØnY1ü‘®píú‘ȸq8¬ kT$ 6¾oskóÎæÖ-ÌêKEQA£Óù¼þ¦Ç=Õ÷WuNOV§'¦ÚÏÖø]®Fú’ÇÞ_ÿªÒ.‘ é§?_#¤¸.ÕVUGæCt6´H~O5>®üÄø» ¶2_\¿‚%CÙá¥&L|4Nõc!í= Õý!—Í k õ-èj£6c0˜çþ"íqÙª].[(h#ZÞkúö®Áª±!Ò 7h83õPŽŽˆ†ˆA6Læ +ß3èÜÑÀòÜ¿$£Ùè1rÆ«­²:ÏÝ•œ‘A»±í³¦÷Z®ëªß¯ê¦E¢3ë^ÕeuZÝ5ú ÝÜ„T)¬¸þã ·…¹pž—Äéq¶9%gÒ™vžufËNƒÓýäLù£ÝßÜÜ0ß߀ÝÜØ ‘[8—§6Oo +‹ߢkmðíí;oW|÷Äb +qŒ`߇yóvœ +ûÀëÒ×zûºv{}Žº._·Ÿô9Â~²Û»ËO{ë"~Rº¨ß ã$¡Tã®Þˆ»ú +b,â:(Þ$†âÍ~=íÁH©—ÿ©q2 Ýµ5/Uëx_šø|M8ÀéîÚµ»Û¯ÚÎŽšZÕ¨Y¼¿Éïóê^ª®åaj:;º5᎓’½­³Áá?PÛÙû†½uGïÈo>=~¢Åyý-ï×ý +Öæ°Ðq¸ÝU륞Äéý“}»èÏ.W¹CÍAî[R•+Ôôx¶Y×ÎOæÂß8°óÍk«¹·Ù×êÜÙReŒìðÞêš F]„rõ×5¯ðé—Œoh)o©µVV?¨R/GU¤*Ï}$Yñ¶ÿÒ]õ±Mœgü}ß³sçï³9Üù.‰cŸ|Iœs‚Pf +ÍR …ÒQê…VÀ‘©:탮0­¬ÛH׵Т©„„”² u+RG¤1(BbeÊX¥Îû¨c1{ÞsB»?æӽウïyÏóû=Ï‹ ôÚ†JX¶b ßœMóií°) ÉÝõæ¦æ¦âºØâ|ÅåWTÆéA”mÍ‚¶xu&´ùé§7‡2Õª\µ°'ÚŒ?}ghÿÏžKeJÕ`áұ¥ÁÊÒD&ýÆ`ß)ÚŽ}Z¸@&L/S†+èe}‚ý¾Ç˜õÏ™DYÔƒÞKå£xñàw©ow¿èøÖCÐÜäK餲†`€dâÿ{6¼ÿµçš2‰ÒÊAÜ4p 7 +V)àYÅîÁíƒÔ³.„,»-ßAehÓˆÅðxÄPˆç=5¶2„8Î2A,Ȇd1rÂ} ÊjÖx½<Ïq,fG‰0TþÃ3! +|ž‹;tœâ§PrjV>L3kñ +æB¼3¡‚¯™O~&bæS”|0¯¶6]¸Ñ‘Ív`5 +ß1VÍ‹ +o™ö‰âäªv#ÛQx›> ++Á¯Î2K°Îjbø!ˆ¼ŒÎ#pqœ¼„ìxbð{¦“Sy>’Ó¼)ixVÒ„}…ëR¥¤²X˜¾R_á÷H¡óøc‹@^– '©AH²bÑÒ”ÆhŠ¿’ËòôoR‹pÿó$þøõæòƒ?0 úr ˆá´½Ë8xø«+%¢s[qm1ù@€úõuª0C.€aº–,é¢ç¦–åË[à¤>¼õ@°¨Ö7Ñ´Öàè:ºjg\N†`¼j”¬1Âv‡`·;ì0añq¦±º­>ÉÏ]Fñ{ƒà-{9õ6Yª.Ë@^Ü{/boccC¬¡ãYž7c`‚I‹kz«(Hjb~ˆç„c‰Œåîg;S¼?ëÖƒð¥5…? +·&‘EÐ+†½]È9­JÎ⊜ƒÍ,ݬ;K‘¢!zmC"\sœM¬8<†{ÐLã!0ÅG#”ÍSt–žò@ßDʪ[õÅÃñµLõ;Bšãâ5,[E +•2 +킆½$VÑP9Áì*?¯ÂßÄ~ÀI*¥û`y>V§âÂòE¥«)e‰ÿùÚž“Go_Û}òÕßv§žên]½NÿêÚÖÕäß·~Sxy V~ë×xëæÂ7Žï]ühßÛ·N졆F ¸T Hè1$AÕâÅH6(m”vHŒ_R%">øû逨ӊæ `Îfw8]nï'?"¯’®ÒvdãØ«b‹fgý¥íÞ}Œ\J0*T]0’ +:„uËdµqÎàYŠ`HÖC•Çd½aóvò/ñ<ß'(Œãë¨HN@•§=›0ºÓšV_‡@õ¶¢˜G‹œllô>Ô{rù2%Ýôèxùlu 2XdMþç§éÚš4=™'êœm5s“>@àY@@TÜ0æ‚ÑšKí*ßÝÛ£ZTÇܨËÅÄÞ³_t°Kí+Ñf´!¶^=„¯‚ò1^¬˜ŒNÆ&UÎE%¼-«SkxZRÇ"\“.¦ßŽK03Š§†0ÃàQü—á’h G‰c˜Ï•ZÍ®aaN7í£›öôŠÔöe#åXÎé'›P»G¶•à©ªü&Ã&ýƒCFåÍüܴ탅»Ð2•q‡8‰ñq|¯›)4KF¨à¦XßÉ›MÙŸ`*›Ï{ÓiÌOÏO'Cˆÿ'õn×´Á²hÅ“˜«Ã6^·óˆoÔVSâu#‚1ç¤ä¡næå¬è7ÍVÛ|ÿR$›ï¯!‰¾–¶<Ûºëc‰ù[¿÷W÷r¿öAiÛÒõ×ñû/,Ê,í3*%b™ªÌðæOŽ¯ü~ÿˆÑAà©1šÎô—äþ—HèŠ/%ëõ+è†HØ–-ØòŒ¸±f—u—gºw¾ÍÆÙÜ:ªÈ•Éu2‘åy¬Ûp¸t·[ȱ.O}Y=©¯×ró¬ee¼†¢ª âp(¬£ªQ²îtkkp˜ÏÀkl¼_g˜¬®ÓÞn„täÀŽd·Ö˜ô6&µ|c2ߨiÞ4”Åé†d74h}’½ù4€¥u÷j¨»(fq~X (n´VçšÛÈCgjgFæÛ_Y³ãgÿºsQkB)«®Ì<34н¼æëÍ™ÚlUg}_ß‘åA·_JdÖxâö#äm¯oØ2ÖÓ‘h©ž/”ÚÝÝ+³Ï—ûX&¨Î`Kõ²¹ zV‰¬#S½xAχ¯<ö- #: +lðYÝ  +4…Ò>åò…ÉÈ«ÄNy£8&Z›D¬-)eôAÿ¶2«Ð¤ªŠ¶J ¶ßX±¦&ËIVE’æJQ%-uH†Ò£tc•û!æ²— +ˆ¶<‘–ûáír?¼Y6à¿fgîd튎êa:ÀˆFqoÚÛ·coQ¾ýÿ«â©H +Ïñbæð‚¶¬gG!OU¼súwø“……kV÷ý›éšš–®–š¢”wd¹ß+p ûMp£úÌðˆ̉è¿\W p×}owõ[i¥]É’µk[_[²vW6þ +þ¬I@ŽÁ6ô +nã75”LM (<OšB“éL™6M(†Ò24S&-¡àR˜´ÅIú‰†OÒ$÷¾•lœhôö½§ÑÛ½:º÷ÜsŠ½„LÈŒõ_ïöÖâ›E‰ИÅw‘Ÿ*9–u&±Ká¤kC ”‡Ž–†>…¢ÙÜ ·":}&JGGñòRkÎ0U[ˆ­ê‰2J*> <‚:z†ÞÏÓarÞåç*¹-ÜÇÌà4®‡£9QÅ-xgŽ—×ëiÑIò£#="+Cü€:4³6™‡¾æÉ둳Äxì1ÃE4ˆ0¹•Ißc70†0 +NòÌÍZÉéØèxôÉ÷îf²÷>ÛÑ ^eI\[9ºs[ßÀî€WC­#À3­·K³Ù?œ¿Þ]Ýkzˆs +n|zW› ÕP‹ü„3Æõ¥ÀΤ¢7µfÆWàKø—Z»ÝþAÇs̾²×ËØÚžoíÆ)6åø€ýÐqÑú‰:n½®Þ¶fT›Å!:Ú}í~&(—BóêÔ9AÓÁ{³8!Jù(aa7¶5¦¹à(Õ¡#\¸FGô ÷6wƒ3"Ž ¸ÆGñÃx×®™Où̸®Ë2ã„¡Í9’I +Â{f*]_Õ:Kó6’04øC“Ñå™BΈµïXøê­¿¿ÿêWŸÇÅ?Û4/Þ({ãEâwÎwÔOöö>¹©³ùyêTsÃzÿèÕ½¸þÌg¸êpU°&Þ䵬[”]°~Ùêo>±kÑÇ/Mdu¦u¡ŸjVdþ:Ïóµ#ÖjMQW´*²ºì,BN?®Ä>h13v› ˜Ø5–3ʪ™Ö˜Î˜h“Xòtªá ¥·¥–ÆŽÌZ¨Éw°&Õ‘j8éŽIº“jÚö?dymØtÖ«{ëãuA7ž´qôì¬ÂÌT+è +ÙwÜ5¥JÏ´6Ö5·?w¿´9ÖõÌÏñäÑ”"*CU(«5™3k)7ÊåѨ’àúËÍëËå—Ë_™!ÃvË[Ñ·”qøåŽáŽÅ¼¼|¹Ü¯Ð ³]óHµvUÐ+3kTAöX¢+ŒaxV¸²$Ê +z¹t +ò£ÛQ„zü(-ÕÈ^€½ +{«Xý@¯w¤uéC&='š$ôhb/HÃÎUYm¼ªÈïô0¬¥Ìñ¹ +*vK +®0« +šaŒ(Øï,Qp‘.q¶RAU \¦ ÷­ð")6­çëý}V¾Ë“Ï¢zV¹ H†¹¦­é纺zǶo¿ÐÛÕ5oþS§nÌ{~°wÕààªÞAïp_ßðú +©=M’}o®\ù«UÉMÚÈ¢W®]{eñÈÕ…ýý ;úû3·oÛö¨¶b©ÏKð<ÿ‡xñš–äBÅ‘ÚÛ>wüGþŸážíŽãžl2ï´íurŒÆlvüÓ`æ|%¾6ÿ2Ÿ£¯|È`JÙŽ>°]±ý)p9˜¶Ýµ™lí¶åø[¶ÕÑýÂaÁdGGÂ1½|C±D%ý }šþˆ¾NOÐF?=@S4í 'Xƒx³$áäù>bOGHi{°]+BF¿q†Q>5ähõ ñmã +£ÑH¨rª¤“k;Æә̧:A¦‰ÂJ>(i< ΀ÀMå•“ÓÍ›¦+®šêRWd +lz¨cKâµ/—>õñ¾¬þï©¿ýèáŠ9Š×U`#Üúh÷†]Ô4w6ŸÝ¿é—Dz¿x7û»_¿Pœ©Îq|Œÿº{ðÙï¯Û +¿Ÿ›¸BÓô0²¢BT§ÙèÓ£û´ƒåmØ–Â~uÎâ¡ê/Eï(6àŠÉtLÞN'ó®ä…3„횶¦ñ’¶¶%dP“ šžÝÙ9F&š_@/NDéz"p£†wÁ½~¡9ô8üà. 7l\Š:~ ˆÔ-zFñJÌCÚ‘I碨üZ8ˆ'+¿gI[bÉbxtö·Øý¤¸H ›¨ììή٠+]™[Y¥Ò'pȶ0¯Óˆ7ç¸9ŽLq„STZó¸íq#îq[‘ˆE©„qŠÅO§ôt–B•DÝ!ðO.Š\«¢¿²c|÷_$͉þ¹N[S?Ÿ´xÙÍõ°ª¨Ô¿æ_Ì0píf­#g-,r±Y[aY«ËŠ- ouqØbJQ»4b/8–w0̆fRÔGš€¶"¶‡]ÃnaVr/x™„F*!"N”2ã + + Ü°³°aÈ\áUì ߦð£pž +1žt«tKæ‰ê`³,ͤ¯ÞÏ”äæ`5Ն׫!¥¹$s9sÙ׬†ÔbDMœu~ŸyÅ€ØöiýŠæðµD”YJŸ²YÙ£ü^1†|XøPý ºˆ/ñ…1qLú\þRd»Å>ê»Â*q^/ÿP~,nWžU÷Ë{UÎ p¢E6¨õÂ,QíÔC\+ß&CQ…¢RT¿ÆòBD(*±Ù$±¾)¨b­—rÊ!äTCÈ1&¸TÂœ¢2`JCÁ qˆç„µ\³0ÊS +¥(|ŒìX$ñR©´Bb¤“ÔMT(jù …ŸÏÿ†§ùuóh|¤¬Áídš gƒX™ô¦¡?åÂíµ +hC]ê« ×A¦«D¶ÃÓõyA$7ƒ'ó‘\C‡–ÎçÎȹ3rþŒœ?#çÏÈ_9Ó2ž2€Øtvr&$èÖCQL|c£©±1ïA™›ô ÄÛê/ ^ `M5Ó%}!ÎÓ‰çÅÕ¤Ô·Rô·³öêjR½Ù/Ú[æ.Äsw +>‰_j+¬hÖ?mi¯ÞñÚÿÙ®Ö7ª+|ïx×^¿Æžñîú±~í±×ãÇxíñ8^ví»$ +ZGa7QI“¨«M¢ˆ +’&$B¼Z +¥PQ!J[¶Õ¦REB­I¶´MDm‘ZåǦTê)?øÑUU‰¢ +ȦçÌŒ—MÁÖœ{gî™ëë{Ïù¾ïœ¢GÍÌ.s¶4Mú™à—t3Ùi‡žX»—€ö y2$ \§Ñ'˜ßÁÓ$‰Æâ‰$¡ã`=lÖ‹KŸöIZÁ†Õð|kÅ”†7ÞhÅ”¸(QŸ”æ¥EiEêÇn[šÛÉ.1±.u¹ï0OA)Š‘.2àëÞ¼v&žÕ }œ¹*U +BÇç«d»ÜîsæökØÿs¢»í¹\yƒÛMD¬LmŸxŸÈ‰]‘$M+t¹]ÌE+€dáúÎßZÊnû +#> +Ûo@©`°!TWí¶qô#8™ãFHfH(úàHV™¶gb".Ô=¦ð™LW]¡ÁÀP`8 ôÙËrF®ú+[)TÉÐQW6CÓ|6cjêWŠɹó*{SÂûˆ –žR°iéÈí@9äe½Zµa(l ª«÷vÏÒñšVß¿?ÉÖŽÞ8Õi³éÕžÕï>ºözàÑZýû²—ŸÝü@uüåwn®Å•ºÿÌOê;>û‡ÕZÁÞ1ÐAO÷ý4È&=Ô  „§Ãäz0w0oã[ôÁ¡ûs5·—bn5õ&ðˆ::ɼ\ÝæzËÆä +N‚ í³«|›Ÿáçù£üc¼“ÛCìÄÁ}ïŒiÿwcP!¢­Ü¼¸äµD…VºôÃ3›Žœ‚D‡³Š½íM¬Îù +) +ßëÈ{Û^óÍ>ÌREm0RVK*g’kÙH1¤A-¨°:¢áj@¡–ØÄ]ž+³–²ªü¢Ê±:§¡7ÌacïÑ«›õΰít 4*åÃac(ÿ|çë/=°|áøLYKf‚ù–2¹ï»¯,ýäS?¥ {^î{:iu~Óiƒm%XÒgÏ~û©…wb=h)Je먾m‚Ú~öÌI:t"ê3y22 +ù‹œ—.y.em»¤¯åžöÚ¾B†ƒ!LNrkf~¡bžøt¼TŠ.8Áýö‘4œ„ßçg¡d›ø/ú9ÿ{E%†©"ÀYãC¢Âï‡ ìI3c +FÊX›Y²Š±ÎÆë‰Qç—÷ðnÞÅ÷Ù³rNæ좛]J%Sœ}Ô™Qhb(­P™Ï+4%Äýp + +|ðÈ\C0 ¾¿:Œsx¸ßf¨÷ã9è°ïx4éW¦Z€t¿>xa¼8_Ùý\ûàâÚAˆzê}ÿ‘ÖŽòø¿yB›6KufáÄ¡O\z,®BhÓtù‡ÇöïŸ>~V’qØáðÃ:÷;ðeÙÝ&‹j1ÐÛÂbŒ¹˜Ûf‚!Òìï×ùµƒ\:LKeu¬Z«ë:™òl#1¸¢p¹ÐÏÝ÷EÎõBÅ:é1î…[ˆÛ‰/¹¸r©XPòð÷åÞK™xGÔ‹Y‘Àå“r[ž‘çåEyEvà-vûdÕ¥\Ñ.F8÷€/šˆªQÛÍ(¶|Nêw&÷9mÎ.=Â\e®—K`J$YR† á³I½¢3}Vߧ÷‹z——hF– êÒ]l0POŠÜsâ‘›©nì4æø±uõ @ÊÂ: ûÚúãÃ^0AÌ   ·ÉžsXŠ”ësÇŒ»ü=/Ÿ“™Ó×–-6ZÿˆÑ¾Ð\0á$FžÁxÁ€+aàGØH0˱Çí,¦£«Ž®:ºê誣›þ–«Ù@y„o¸cø†A¸hû¬Öaµv«íGIƒN½hâhbøss¯5ƒ×šÁkÍà5g¡“Dïš8šÂ—|ö€ ’Yu =…ÐãŽ~Á,Jê’P3˺!D2£¬£ œáþ³Û’sØ^Dñ¿–:ëK4KMRo&|ô“µ+G +©ñ6º["bíÏÓíVçÐÚÕÙàX»óÏÍAu,¸rj +¸â-àŠ º—Åözï.¿ë}W~/»<°Ì/®•Þ!gíWÊ}îÍY$ÕtïVïnáÅÓKÞ¥¬Ãé yþ6dËÂð7¯ÉèØ¢½±©9~Û„x¿4r yéļDñËx®~ÐH…µêXE-÷r%Ÿ.ÓP<©ÑZÕ(ékhz±BTÜæŠQ×T‰jmuFWªÿRoªvUMÅ.<›Îùl#]jc¾A®˜ësŠñ`, …T¤4÷IòáfÉ>€Ì®IZ$ÒE.s“¼a]—¹¨ ¹lòÈ“·pYáØñí«+«Ÿó u%ÁÄë†È0t&èDЊT°ã–‘‹:„àF +3̱Naõ^0˜%à¡gþxìçß¿çá»=M¥¯¾°%Ÿ+mj–2‘Áaäw=²—¾ð—gïÿ«¡'¡4,Ð×V·5ÔÌ‘å§.ÿâýTJnužê´‡}ßc¥ªÜ=¼ð«WëÏA±©œÑ!J€«¯®NqŸ¼IDîOd3÷óÜNÌ*Æ/âTœ;½åòp)&Úáf¥JÔ‚Î$\£p©pñpù›ÞPO™JopW×éO)‡+ccÕ7Ì`9ˆ‘Æ{1 &[m6E¦"æ4ÿ·¢/[ o­Æ\‰{# ¾’œ‹Bo%ik%·¬b–w´æ²r&Ú8Ãxs„r­ZëÍ0ŸSE"JEà :Oé +íÇn›ÎX·ŽE¬°î¨Ó‚Ö%Ä7›X_¥S)B¦¶#c‰ªZmWgªóÕþ*\íjdsR’€'pØ-^hE Bøöçé +1%À± +ª¹°ŽîV¥öúuµp¼^ÏIˆ©R÷sñü:ܯ£ï¹§¬áÔ†á¦A¤oݲu 54x ü€2&»f;I€Žƒ±£éG“µÆsV;Úó‹áhÍšŽMZ­9tHQv4ýh²ÖxÎjùDèÄp4ŠfÍ—a²Ë ×,çŒôkèAKá­§‚ÔCió‰C°Ê<ëiÍvÇZÇ@åO;í±Ðz£Ý1²2I—ŒÇpÓÐg~?5œ~¼¶lÖz›ÿc¼j`›8Ïð}w±ãsûì$öÝùlŸÿΗ؉Äùó„†\¢Ñ1Ul#f£”ŠD]ÇÖi%S0Ôv©6P§mÒk e±­¬D0mÚ˜¶Ò‰F]·.‰†DÂÞïl“¬U¥Üw?þÎIÞ÷yŸ1>]sL›I ô?¡xq@cͺ»W5v'[‰ z¦5Óê¡ÞÇÀ£ˆ”²z[òB¶\‰‡G°8!dníd,她¤Ð§gqœ`V,ðwˆÅ¿¤4MV®´ÃèîR<Í ÖÓôŠ¢8zÃ8µE4"­bÁk Ñ{è‚_ +²½¶e§Š+ug‘dÓ“—Hg’õ’vMoÓ$òúÝ«…*ß×BRbRɯ€öý *¦Þ86œ™Ghä5•iÎ1 +?èÙ½²Çí’ˆ,=µ¹{8ƒÍdÒ{S2m ëY'£i†É¦AgĨ…c9-PQÙ0`àAg"?=‰Þ*N¬&)$㶭3E„e +DÅš)%V©ÊA뺌¦õvG£ÚÁè«t|9h[Ü9R4gŽ¬Õ$rú‚ւèAi„L”^P.æ½pÛ;O¾4ûoR–ï=šÞÑÂêîhEë(mæÀÎeÛö|}–Ü^èJ.õÚ–Òëæʇ¨¥€ÃÃP=/õ!àÐŒ]Ôuýœ#ïäºãRy)•¤Ìf}IƒÆöW–l8Ë,¶á‚»w¾›ÐÏYòÒð`¥)b¥‰wN°üó;–³¢¿`Š]U”³É¶„ +Ûúlƒ¶!ÛˆMwì;þj¡3}®æÞÍÑ]5E²Tï⊴¢SšaK“îÚ¥)ôk%LžÓ,Z~!,ѽP×3P׺x’L!nÕÌ1,å + LŠ iªE(sRÕÝÈgbKøU±µ|.¶•,öv„¥JD`á^Ÿ_O{ŠˆŽD¢ zÃÁa…£ª0çD5aÖõ‹gŒÀýñ¶ÌólÄÍá*âët¾û32oþy*“[Ò± úoØ!ðÕ‚Àó(ö˜V´¤©! ÷&°Ø’|1>åð¥¼^ÅX  +±Nûøì ˆ"ÚÒˆ@:(G£‘ÁÈP„‰àÖ¶TDŒS2ÑT؇0lÒÚ,B-p²!åáÍá*>µŸCœ àZó +¸;˜;ˆ§H?…›yŠÉiÎ.?.l™}µŠ²ïÉó¼&Çõt׺~Ò¯¼ZÁ¥(™, *€“B«( 쾘 °±úÑÖ^ +7{³štLÓ&íª„GøM&3s Àiñ–"xîþž~¬ˆ"µå®H' +ìv œ}%%Pc8~ÔaPyÄr¤j´fT0¬¤—é–±+M9z½n»Édh·†+G˜aÍtÿ JtVÃWzÊ×”o.gʱõñUAVÌo2±ò•}»q-Ø.h3V(Îd {\ñ4\U¬d©„¥FïP‚…Ô ”w*”CE¬°•#¡‡bR`ˆõšn,;6{tjòèÅ‹G'§Ð¦Ék#û¯]Û?r~döúìÁÑÓ(†b¯ÎœýàôG·NúèCøÏ¿ô"Lh±;…Žé2OYˆŽ¨yi~ÿ–y¼Äìuÿû‹µÍëî‘%Y¡qŸ?î£p…% ò¢Ä‰à¡8`7L +é’¥„„¥>i@”†¤iLbG¤³-‰ª¢Ôo¥8Š¹>n€䆸nŒ3Žpg¹Ë#ÀÆ'Pw‘—ò%bº•Ë‘f´bÌX3u$¦ÌBÉ!íp‹Q·Ëã’]Œ>*†(è…%âŒPÈ êAz&I¥æ“d¶ÜLûÃГ4ôÇnh*;»t.Ž¢+—oF=ßÝsîÌ·^ØûøÐØHï;?ú\_ÛÎÖÉÝëW| :å‚Ný:¥RÍ´>äntw»7¸ÿ™¼Ô7%»“ë’ê·Ô뾦øòøÃñ§âO«‡5ž”ßfÅ/¯*J<£vû{Õ +þ‡•/ø¿ê?ÿ.nÙ6N׈)¡ †=à÷{æ7r +¿^ˆP%úÓvßäîñÔ©OyÐ:òbòSpž8ÑÐBÎÏC†=_ø㮇Ržo¨?W_Ww¥Uyyš¯vWãuËSñºZH®ÙÚZßš5Å… ¿øýp~þDm}J»‡ßCθ¾|È?î?ïgü“}Ê€2¤0 +|ð«‡ÒÊÍ`u zg%t»‡*¨ Ñš èʬm.Lx)0¶¦g”ütž j‰ §À™‘À @S@ +‘x /žyJ»-ON4Šîú†d­oS”pÃ’v6Pƒ«.@íäÚŠàF;ò9‚ÀO6zåv‡ÝQ2ÞtÐØÔXÄãsÖ¼0—ÿz}0ßÙ[»zµ vôî¼óã7Ç6v?Ò¹g×ûèÒììlþåÈÀ 홙ݜ§YÍ áÕ“Î@_¸e ÈÓ^àÒµÀ¥Ý评ù@0œ¸÷6ûƒ)ÄÃ’$É¢’ô@ êÐ/qIÖû’o:Ÿö?Ó~`‰rÌ + Ę’8“%ÅŠRY;m»Z 7WqÈT}°llÉîöì +Œ +‡ÛÆ.X~ÛpÅòç†wÛï8oµ›I +ðŽH~g{+ï,«iæeÀ„“,¼ hs––4‡¶%m +L v,§Ã^&«®Q7«ÛÕýêÛª¾NEê¹}Æ#cœ@Ì/Eö­á¥¬'P áÕiÌד4Vq©Ö£z,È!–Òõô06F-1t˜¸£F“Œ<ˆ‡ð.Ãâ +s1àÁùÊ x›ÜÃÛ#f:3ü +GÉ@§é”H óœ[vÓ×Ý7Ü´»£œÒ'ô—ôŒþör°Ê °ÊÄ C¼æ§wÌý­š&@ +¦ó KSÙé\v:h¶#SÐD.“ »ÜEÎiσÄ/‡Ò3è²H¢Â¡p-]xê(xi€h|PT'‡½ÌNX‘¼Z^¾.“© $E³ë@ÿwú›Û#ß}ùgÞúÍš}M‘@M +Iv»ÕõµÏlN7øPëO·=û÷õ/¥d[¶ç™Þlh#çÁ8œ uÙ-¢§,÷Ü[þ@8Ûs¤7ë°nµ‡R_l-¯6wô¾øåÛžˆ’³øó àO?jÅ^H^"8q(Ï<çŒ+æh®ÄXUàÕBdS4Þ>?Õ)ÝçÀÞbâ#éÏ_LƒæsðvÓb®üåÕÅu…çÎ>fwvwëÙµw½Ù‡÷5;;c³~¬Ùe†ÒªñbTAh\#¥¥iEMÕVmIkµ$©¢†ü(JIû#Z© +Ø@5Q#5 ¥M R©Ì›¡\ßN‚…$ÞÔ­ÀA + Œ®yOCmÁÓS–˜îͪÁ_‚š+¼Iš@„ M‚m=g…Öy¢àb7¬à +ÍU¼D:ÕpÃ%…Š'\r~R¨Àç +•ûp² P/ + ¡ $=ú³ÌÒ¿+ÊÛNô–“iï¾±8àdèôÕZͨ_2ß?S4êWYªhCãêJ£~¼nH>õÄ1Õ'õ7êFmÞÖxUT\¡” +ÝhЃ +îÑKèIºR$“Œˆ—/wˆ‘¤:a’B¨Ñ8i;ãà(‘5=T‹EÜ/8T?_ùÙ؇Ü.?–›MÞí¦X™Õ]†ü{·ô…0oÕáåõWLXú½¤Ú¾†ÀÖ¾ú³µ V*·p•…oœ¤O“}ýÿÝ™ßí…wƺÝqVcÇ0便©6¼9L/—nÍÑyØšÕ¡i²54Ô˜ÆöûöCµT¹;iíláÕùýŽLc+å£Ò¦Q‘KE”'ÌÛCÜ4ê>ø'üú—„+”V]œ^½¹ªõÞö?{¼ïÖ!cÕü~üÊdY È›ÎÛ÷Ù^¡òT?óÞ‚é%äè ö¥úŒ‚¡Åšú]n'çvtïu½å<%ÿÝyÉ9Û碨%×úRlHlÓ ýI +ý2ò…þ²×OpR‹Ée¤è –fcE4\DÅb^2Õž²ôM¿ˆ1yv¢ŒÊ »Ç_qäPb,‰’øf·ßc$ÕöÝú4½Ñô3X/Ä™Ñ0ŽXžWÖÍÏŸÍô¹pgÑòÃ0é’ÇÀŠ‘(œiÄ™ Kl/û ;ÌŽ°çÙOØYÖ>Î"Vh*î52„ÉÄúMØØÎ9ÊØçs`Äó © 7§r‘pÃó`qO +`&A©4ãL3ÀWÊ)©ˆqÜΗ¬ð~Ù|)<<õ>¯ŠÖª†*frƒ… +_¾¾¨ejQ•½ë¹/]*_DÉ¿öýtÁ—jâõäÏë¼ÓocšZRiÄ?‰âùü,nηè"‰í-@W”ˆ¡ça:àJü[‘ãÊ^Y/Ö‹mƒäVþ¸õ´õ F¸d½Ì_>#¯Z?ãnòÿ•®«žÅÖUV’â‡B[Â[¤MêOÈ}ÒÔפÕµÕY_LDvÜ’ÒKÛìÆô!4´ÙOÚÉOíð~ʼnz´`Ðæ‰BÑ.Ž‰ä^‰%Ò „Ω¡+p¨/Hò½Ä Â2 Ú ;=m0*¸M*¸M*JÀF),#–ÈCĦ4;vX½K3ö5q¼Ã÷«±¶ bÂñØ°d:ïÓÕ‚äñ‘>Ýåió Å­¦*ãö< òÓ=eˆòLS“·# "½ +îMvØ¢.(þ +‡ù¤¹Ã?mì*g쇒ÕNüïþѦ&(¾Š ÓY“³@OjRµ4ûÁ!ØñÛ±@q(ƒü +øÔ­Ú€??ÈÔ…ºù–ü‹'¶ý®GLµ‹ÉòŸ÷^+ŸEÚÉïœj]•ÿ•}vè¡gsh}߆<¿4“¬o¼þryÖµv=|÷àöuk×®ƒ˜> +} +øoEݺb‹#‰È’õ\‘–k%tFw’˜QsP«’ꋺæKxJUFKh³ó¦H0, +/gÁIešesù–VÝ>&šÉ…†õ±Ó¿¸ª-¦w–ÂRXÀˆÅ6&•(Á +6·ƒÍ™ Ê…ôP_h 4²†¬žAš&í¢)wµ®„>Ô²’SH%\hB^„…D³þÎ2«óNm˜ÝÇdÇYŠ`û`;ÁR¬ÐVBèͪÄCL°“?\݆Št²“Ú¨1¾Áar²:æ,V™NÐümý¨jæ•yM³/-æÔÓ`ª¼¿êû7¢Fzæi¼îÚ€× +¿ï¼ÖžòÅÛ°©uâ{äxÙI7ÜÔðÚ³ûM©=“ý‚MXÖlN Àzù^ê÷–WˆF"öèî™'mΠSáòÜ +®$•”w¥w•ë‰ëy†Hr$ÛøŒsJšVn$¦›¦š¯æ™Võ|Õa%Æ@èájLwÁ!¤«©ˆžª&äQ„H UgµA5Tó§ˆE>çø@8 +d<)Eµ»HdÍ*QÆãŽï@¤ãƒ0²¢:öÑéqúMÐÇè ´E¢³t/m¡Ã­}ÜGrÌFL’{ååayD®—‘,´7WÅ~æb?diÔÔ{˜c -Â Ж V¸¢üa¬üÄåO/Ò +‰X$ÂÒ¤¤$Ô,eç+.©ÏG²*•««(¾Mùݱd¢1¥Ö%c𬑨<«‘ýBUö½<è~µ0ZjÛ@­ú[Vjhýoo¿Œÿ\ÿÐÊ=]§ „OõìÑ^zä‘—ðËÒ» '&°õ…oaéßÔ3˜É à{ï£`s¹8zàÀè¶ýû  > Ô¿Ô·ëÂyY­kÂj¢¤i—ƒ¡p”<=g˜“©tS¦9›Ëå4r/ëq»œ0OÚk¶9w5(Š\û1Dû’Å…¶Ö–|®òÞ#b±Kè—º]‚éN%“^/ëB¸*X{/F 0.àBXJ(ø¦;—Ë#)òBGïæ +¨ÝpÌè¨9Zˆl§695iÊ0öa¨ßô³Àž·%h&‡~Éâ ·Í Ñ¶à~Õé>¥ÿÎ-î å–w}XÔrBw,ûµ•C÷÷óZו.-ê1º1xÜ5ñÆÕÏo/?æ‘:0¢í‹Ð7{å¦ÂºòXÍ=Óú§c‹5 QO¼óAa\ËIŒ•}4Ò]º›4%§ævùtI ]NÆaJhm¨ŠÃòóÕ»±˜%5²—´GɵÐWé.w‡fAðõVÈbÝoá.O@&ÚIÆËï—ù?À[x!úÕÍxãpOVhM…ÎhÄŠ(ñ«…9c3_ýÈ7¦{͹Ux½¶»š:ïÙ³åoÏÜq»¨AlÞ(ßky½›¿ýú´Ù<ÏCsbàpÞƒv{žñàë#^=¨G 'Óã:m‹J}MX„ 7?ÎJ +çÆztø ‰È:L9]þ£äj©¯§ðwÃ(gì’܆w %S9ª F(+%D¢?@,ÌPAd Z“Ð9àfÆ‹4j¼êÅ!óUB¥Ì×Káê åõ†î×ðc6óº1>çpÇlêénˆYˆxTgˆ[ݹ¶.6[Î}ž‹!xsE£Ã^VçÍèœ2›cØŸá†)T‹ÿPƒ=ͬ†ÿ[ï}N˜æõÖP üƒ êåeb…åëz²|ÀújôÕÌÿè®úØ&Î3~wvüqvìó‘œsvìóùãòáø|Iì@b'w!8´èÔ0…¥‚Ueƒ0mc¥¡´Ð +:P%FǤflcÚ¤Á’è¤møUHÕš1¦5™†Â*¦ÀÆ${ž÷.êèžç=¿ï뻼Ïóüžßïrd2z¹á£ÈÕïÄ8„9Ì C®Läø=Òõ tP=!PG¥QuZšVÙF×ôšiÑqµÛ—[ƒ«WÁ€7ÀP¹|Ëê5­m…Bñ·ÌèJh³ŽÃï÷uú£¸pñpq¸Ö_h—ˆË1IŠ>e­ß\‡{ /_fB`VU3—Ì¥æù¯í4ôŽöb±`};ÝàŸ„á£:š©ÏÓε!™­—íßfkù\.•ªd!¶›÷ƒ‚Ñ”Gc„•¼`DÛrׄiᎰ(؇„½ÂˆpR°óÂ}×Dc’&1FQÂxJ°ÿB +¯À(øI%¯P¦•;Ê¢bá;¢œTì +îQp¿4FeTìàE®@”Ÿ’-Ðþ Ӆ™ÂÝBÙ52°‘Ɇm½`´ë¹‚ѹ6WéêÑúg`ôìõoóÅÁ\AìÒ-2n}ö +¦ŸÙüÂøž]˜d¨.ȳ¢çîC7ÅâB>®B¹Ž/?.Dˆ7ÊáŠ!úÁ}/›,ÞÇ +n Ç„.p¡€ÿ¡€ÿ¡ùøò zË-EGØ ´¶"•(­ƒWÖÎ×¾¸n€l¡±Í›c’r"V…I~Ž_¦±ªˆ«¼:åM…Ýщºœ¢'¡#®PÄVUŠÐ„nàÓH:,Õ ã1— z|L#š¸áç;Ñ`r·Þ›"c¾¬#   +æÓñŠ0¹o®†ÖXIZcm¤EP„8±1>rÿÿ[³žV“¬oz.Ÿy¡>ÿµÖ}=ÛŽŽÞã‰x$•'ÃD"ÙÝh@ÁOöêz¯íx[cª¡¡!ÝÞÿúB¾-“ieŽf“¼XZØaÞ¨©L—96›ŽášáÞ›§+0R—ÓÛ´{Zb±º¤ %J +2˜ŒªfŸà/„¾x¿<1UCŠkz‰±Ê7«Z8 uE + ï…Âä Ð8ÎÏ,M—¯›t +1o‘¿›v‡VG)‰UÉÒR–Ί-+ M‘ðÙe\5H +‰ñRŽÑK¹©ECÛ±bùH­0=ä,‡ïôš£}vmÅÐ%³Û{^Þ¼Äp´ª>3h%MÞöÎÂáåf}¸Kª]=°pØm3Éß +C}aqÚ~"å‡þýcûEfÂ1ÅþÅwƒÿ¤rªêñFøzõ-ߘŽò+â•0ÃÏ­ºY9+ÞÛoTMUÆÜr̲·}ŸñÎU»ªZvÖý3Ï/Êîw~•yÉñeöeß.~§à¨½Îl÷p(XŠâ¨5CÙ©ËÌ=dyþ¢äÒ\{]6×$|Š8‡È8}) | R O8îwë<šJ,ð"ø1ðf‘ ¬pØñ$°]pš'jrtûà ß>¾HykñØqÚöÆG=/¾wìÒßýÞôûßúÛáCŸ~çÀÜ[Çn¿¶cËÞ±o=K1‹w@£‚óQ¨}ÝÈ>”æãëfæµùœÃfæ‚|E¾^÷çÌ?ëf3)Ì)ÙpL±óÔbj±*DH:l4%\´û•,ÑùÌ2Oïûâ}?$©Š:’LË‘Ð=qÄYåh’“@¥|5xÊ -fÄúc6*ÆÅb±™˜ý<(±PKø•PH)åßÐÙa‡Dá7ÍÝá9ç(Gsb~Ç«–b#8^œ-bbß4¥ÛFB)çforsÜ¿þY‚BÁz\°E›sµõÑDWê£5ÝœS+¥%:'7-É6ÄÐeå–R4¥)aoLepð+”ß †«3)5œN”5TÃü’²Ã¢j€¤l@×HópûõŒw*šŒ·*š¥æjo0õy<¨™Ô)UYáÕ§Ð9…`­™MôW ;Z8Õ«D[Ü]æ¹éÚsï\ì;ÃT¬{ûK?ÜÖ~îÐë¿^8Oj í=u7j ÿ˜¸úÆ×Uúûé7¾Ñ·aóÎnîÜÄl«£÷_ŒÑô»š'Ä)ʳ\/Çœã΀Ø}ˆ£†Çðåeb(\‰J19Þé]‘Q¸¼ËúÃZN¢ŒÒTÀ‹–¾£¼å.>Ëds£³ŒœÊ|!Ò¡´&Ò*Ç£ +ñ*Ä]çóLÐCö¡8t„D–rÅ  û]CPÔŽ“.ÚJÓà1ãqJŽÉšÜ/É{e‡,ÖOÐô¯_]-1Õ6q³û‰pÙ87m"eZ{úãÀºÊV3=ücã_¢Ìo $(.ÀÐ4éÑ$€Ì#TpÈ&hS ± dxš+0äåÏ!ÉÅC§:wn7ÚÓÊV9ýË:I8ó)´¯ô¢µìèmÊ5´?»{÷ÂÕÇÄÄû4 oâÝÃüÞÈ»yG^ä…üKÚíÚOÔߨªSî?±S³î[óÞûÙK;ËœngK­Ö’í©ëκ’˜{=~Jϯ³”Ÿv%VSuÝ”#K%’µùlw¶çhãéÆÔ"ýßË—yl^wÖ«=ÞH•$†4¾íMÏ1ícÏ_³¾ÙÖ¿·=ÈÚbAZKmͪ—¥ìigR¼¢Æ¨1ˆ½†Æ;±83®6åXË{±âÛò¬éÈlK«9 gÇû·à=ñd¾·ÏœOv÷àîK¦›1<]y +n¯¡JmÖ3ÐîPM®­hó²ì³Û(ij…¦©6yµS*,Ý)Ùü¥¾#•è’‘HåJFK¾4ÕÞ^t€àÌä‚û9È·ÙFɺÌÈS!¶F®ðÔ34Ö¹) ØËp'¹óÜï¸ÎÁ…68/3Ï—I2C†'Ù$5Çšµf[3ž¹[NäšÅõ}'L.<¸q¾¬ðq~®Hz×ðàÍ4P‚9‘õ¹£>5ý÷GŠ¤2ßÊ[Ó+?û­àAœ&Ò“5Dl¹Þ…¦M Í:4qÇöú|àf—@|NÀµ¨­š¤1DÜ¿(j“SC°ZÒ‚¢B•4¨jš !Am6´±S~¤¨"§€TlE„ÐJiQZ¥í¾™]c“ÞéæÍ{³»7;3ßû¾×Vè´•É—Š_ÒÆÿ†À½m[»°JoJû|ßÀà7v]ýê>‡ËŠ­€£ÍïØð³5†mÿæßë×÷?}~õ³ÝR†mêâÓ£‹yY×ë˜ÏÕÖ/<´µ´EO-aÒ}%§±­¡±9žhPUQ-õlÙÚóHM- Cm+--‹/OßCÍèÐp²23Š‡]݈£LÎ0´päV(#Ķ%q2)[x[ÕtSE—N¼ÚbÔ!ʧ9-¥ 9-·Ê}ò×åmòyBž–oÊQ‚$P–CrÒ:1¶Ñ<Çv{,»†ÿ›Ù/óp>òýœ¹Fôä /¥Q^”˜`¤Ö#…4Dh +ò¾dQ)…Uù“³oó—·ìŠâ§0¦£¦é‰Wžk×–§ïšúæø8ÍS%š•(1ã³Þ£dVªÝfƒ–_ûÚ“ø#cc4ma”‚•ÚxXªÒÍF³¬äD\h¢¬ÊDÒÿJ‡Ù.öéܾÄÝࣞRŸ6 ʆKõ¸^à¥;Å}v‰wWv%Wt·ÚM¸µ®ærU‹)Ë“üÑTO&Õ˜ WD3DäG#·R™ªXe6›t»Ú†ãi(ê«fæ$A*ª!²^Ê"ÖE,&›î”õשÖ!ûÂ, +r§[\`ž£H·¾ž9OˆÉò6=îõ‹¶@ j¡0ïU"ÒO}åÀý¾hêžlpVܽ{ÀgŒ™D™çîí|Ó%ŸùåŠ/Ýåâ_ͳ|‚“°þY|èÇ#‰Yc9Æv\DXt#xAd~¡`Š`O-d²Y4¿zd Ø¡ûC¶ç÷^|á–šÛ[äïÜÑTÌ‚œ÷˜ÀËÊ +|ÖóE(ìD˜ƒ„ÄU…‚-Œ`GŽŠ"PtŽ¹}üMžB Â¦…¡›°ôC(K.q7IX"q>݇pɨ•Ñ‚çYú $Ú$Ùu¦_M@ªíÿDMÒ.©º>ñ*/±8TÙb† É‚MÐNbq†æ•)Ù7H®obxyš]:Ø•k ©¶ütøHL2çñùúc!û!*)ÞDeˆ’]›¨•¨†GúiÒ²õôø`\Šá›]ˆ@öcÿóºê6§„“ä0dg~0{P#],õ@}·þ3|–Õ—y*bf3î)ŠbŠ%ô9œŠ?©È>t·ì÷(L„áa#É踱OzI¾Ì\ÿ¤|`ü•¹!~¬\7ø_à½Ì^i¿¼ßØ› ‹â„2….Š•¿£iqZ™C7Å(1T^¶©FEåTšZ•U[vU[‚”bå‘Õ›:Dß*äå—QŸZê'=ß}:Z‹ÊbÙÿ« +ñ"¯lB}bŸò*"óŽ41†Qd:f•ñ5a}üÇÒóò|ŽyG<-—Ï*gŒ‰ÜgxN#8ÌDŒp.‰SŒ`ÄsËq{®„ïÍmÀßÃìE<%]”§HÂÌ*0Uxœ[kÕz¼ÖŽ§’Ë:`O?k€=–!Aš®ØC/pè_»-—=¡½´®Îg~ýÿÕ›è—oæ„7LoJiµ!B‰þ£?u†A4LT‰Ë +üP.7Ê\v#Š ®`:( +2 GB“Á‚-Qª3䜈£S/‰8 )œŒ$^b$‰‰È£x³Ë§RšFÂ@_ ƒ£ñÌ$0WÜŒ ÀÚF¡5n¢ +¥)Òö@ ŒPCý{cø'@MIBC `®¡„.¯å¯Ñx7hªU„bÑ7C-æÐNîþÀ,x‹@5bDóXr(†Á"“‹xVDøÄâ ¶Ú‘KG;E[Ê‘:¡ïªËZ0–…&(òXÇ “€f‘Fý…·ß¡[P?­8µð7ôô‚¥.}K}˜è[Ҷè[êÃœDßR4Xþó¬jϽ%ú–^/%½ë%߇¹Š¾%þp¬HÜßƾ¨}½¥Ñ , +ä<~ñS‡$µKó Æ«f+O~þö¡®¢S/9u5k×ÇÖ\‡Bsر‹£sGÜ"ØÊuvªLðû$z\IgœÔ’úûø +{U»ª¿_¬¼Ãkc›b»c‡cã±P,Ææ ~ïVª;òy IØ•ú¤²t@:"…$‰ota ±¤S‘o6±¥q:ËSš¥³˜ÕõòÃtôëX'àM,¯-M#áuÖHø_”y¾ˆY^KUVG‹Ö˜9¦§ÚŒð9ö Ö|ÇúœýêÿZ•/˜¯XÙ×ùß±#üQó¤už=ÅŸ6ßµª3eeÌ«Ýì¶VšX»ØüNó§VÕv#¿ÁÜh=Ænæ37[ûÙ}|´šMð²Yc•ØU|ت쵭ÖÕ6_¹ ~+m>Ýkà ù Úi¶õÚº‰Ù`0Ëuâ¹NÜÙ$K!öÚA7›ëXÄ|ðHp" á^ìz•AMG0¸<›U)åõÙ»ÕWÕÃê¸zA +©ù~³=/´çM“hüö|ÿv‚uS˜/]·°œî™>‚ô@4ìP¨ÅìŸG>ípðÁ퉼)ÐûÛýŽŸ +¸t„ïh†Ún8Bx™&Áþí>B·zgã¸Ç˜”- õw¸ØXŒ„êÂ@¸ÐÕå”þRr¬úý/çï.}\rººpëì?í‚Sšç‡OAç-|iö–†|]!ºcGU[}`ÐT àªëÁb 4/7¾5PßÞꡃbcöËÁ7V€qwÜÃ=¾Ãíä‚œkµkB³Aû~j'÷ÜÿدöضÎ*þû®ß±w¿kÇŽc·÷Æq7vühJê¤ÁgÓ´iÒW’63f£íš.,m*Œm­¦‰MhLÒIPÖ +4ÑIh‚Ñ•Q +i”¡"@¨h¦j‚¬H…0†ºJ âp®ïmÆP+ÜkýÎw|ï÷ü{î9Gù¢láGÕ›3$øÑ0ÅÓ“üóü9þ2¾ÍÏq«Yñ*‹3âKš¥Ä˜4#Aùš2+ŸÇ9ù²Ráy,Ä›x;ßÁÇù‹øïpG_Ïà?Æß„•«†<ì\Ÿ¢rVìáÃ|¯4¬Üƒ1ñnåA<(žÂ)þ{\á{•T©Ô±°ØÊ{x—r¿Pž#Tr†\¡ÛÒžteÚ›ö9Òî}s•9oÎ7P9൸<¾¢»è)V½EŸs‘¨H&¥rðt1›ž€Šüù§‹Uù«j*+×Ë7åŸRž õÑ5 +±xc"¹\ ¨ÁóŸÊ†Ójºš¯ÐV2饃$ñöŠ•KA$pµdÒŠ…P"¯¨<’Š,q‘ÙlQ)™œÞÌ;ÙKASä\ý'ƒyAÉ5ÓûÁ¥n§ÝDëEªßNAžÎK²TÅ=ðøIÎxITËç¤Ì$ùˆü–l¢OÆñÙ#´wª<^a_B +9öWéZaj¡0µ¸Z¨^(ÌKWÕ,VÏaý7’X-zBõ·jÍl’=kϪUGA‹_2}vý59ené‚Þ^z‰RNY +„ÔJa¾A$ý›\&{Èa§ +ÓåÁ¼œåÖäÐG¡ŽUÅõ\óžãeQmµ½4KSòüŠÀ´‡Ñ|ewe䫘®g+|Q +Já•ÞÈÖùË·kõ»ìjéĺæ\ßw)ÅíúóŸ:(Ñýþæ\f})Þ¯©R»šúþØ&ÌY[R夷cohI/yßßîÒâT2EU))½j:láHâá|<íHGÅ»0ÇDö¼÷Z@°¢$¢3üÑáZÉÖéòDÎ×.)Tž¼ø-Ü<'ìu;λ–ÜÊvnv0ïù×ú õ»—Ù(´ê°~^Z\ Q"AF[È-ÒYÊ„6lhZ‹©Ñ¡~«Ü^EPªU‚Í)Ž›iɚѨ°Ú¬ÔÉ*< ÖìÊlLw>”’WßÑÔT¨pŸ[»13äô¬bïÆú‡Jgbu¶¶x"»i°¹›5†œ™D2ã¼ÍgC÷>›MÄÚì¢/V:Ó½…P`¥W…ŸXDøúŽ•¼-^,ÏÅ'ùôZ—à +T?­… +ÝùÅìý|ð=Ÿ`õÕûb5¦°£6ò$ñ¾h±Eã_@)[À6ì’jof‚—üÁô2ù×>È}Žª@•|š‚ªü³‚jè2ãå3\·…θ3t[­u«þý•þNÖ«³·ÅÊôw•^h¬­È$eúKÇ'–ég=C[‰ƒá á§æ·áÀš|•ù"ƒ‰Å+±[ÑF/`Åý:ñý e_ ×2mG-ì{ÌSúepM°Þf~{ñBSØǃX¾ö}þø0õèøåjŸÕ`$|p °&?l?쀊OÎßâI@ªÜ[O¨œ|« _ª":æÿÑ>¬> (Í@Í ¾¢!Bûhø2» 4F4$Òz +HÓ˜¦ƒš% C{k¥uÛ»œuNjÈ·é8¦¡cè¢9»é¬›~l¦vË9 ŸÎ3p/0ø9`ûY +;ž†hŽ‘>`Ï!`ô¢†ÂëÀ»€»>QÐk|høä“À”WÃt5Ö»oÆÀÿW 0`À€ 0`À€ ü?ÔË “ª± ÁŠ›^&XH:àtAä’ÛSéõUù«ÁU«e¡Z R]Ó€xc"‰4šÖ}ë3-­ÀGÛ‘Gºº{6õnîÛ²µƒÛwìÄî¡á‘={GïÀÇŠÿ°go¾©ÿæeÆë$‘æ"F1ÚþíèF¶b»1‚QPÄ!LâÓxÇB¥%¥õn@#6¢›¨÷6ìXî}'Æ1Ïh½—~{+?DÞ:¡ÛéÖ/ÓM{Øq@ŸÕ²»®›I꺕´fõÍ0;èN”ΡéDܯë&êñ¨®›IŸÕu+éWz;»{;º;'˜Û?½mÿáÁÉŽ‰ñ}ý»þ'èE'ñß«¾?Än'&ðq9†ý$·‘A#ö¡·ºÎ>†X¶DI䨅X•ÈYFÛS•=Ä¢æ›Âå÷=óô¯‹<ûžÝa/›å«»'ÚÕöô^°,=ûþvË Ç º#Óìö÷GÐ] +endstream +endobj +90 0 obj +<< +/Count 59 +/First 91 0 R +/Last 92 0 R +>> +endobj +91 0 obj +<< +/Title (Brakefield Research) +/Dest [ 505 0 R /XYZ 343 0 null ] +/Parent 90 0 R +/Next 93 0 R +/First 133 0 R +/Last 133 0 R +/Count 17 +>> +endobj +92 0 obj +<< +/Title (And now for a really simple micro-controller) +/Dest [ 17 0 R /XYZ 128 0 null ] +/Parent 90 0 R +/Prev 93 0 R +/First 94 0 R +/Last 94 0 R +/Count 30 +>> +endobj +93 0 obj +<< +/Title (Design Realm) +/Dest [ 14 0 R /XYZ 90 0 null ] +/Parent 90 0 R +/Prev 91 0 R +/Next 92 0 R +/First 124 0 R +/Last 125 0 R +/Count 9 +>> +endobj +94 0 obj +<< +/Title () +/Dest [ 29 0 R /XYZ 96 0 null ] +/Parent 92 0 R +/First 95 0 R +/Last 96 0 R +/Count 29 +>> +endobj +95 0 obj +<< +/Title () +/Dest [ 29 0 R /XYZ 96 0 null ] +/Parent 94 0 R +/Next 103 0 R +/First 122 0 R +/Last 122 0 R +/Count 2 +>> +endobj +96 0 obj +<< +/Title (\376\377\000C\000l\000a\000s\000s\000i\000c\000a\000l\000 \000a\000c\000\ +c\000u\000m\000u\000l\000a\000t\000o\000r\000 \000d\000e\000s\000i\000g\000\ +n\000s\000 \000\\\000\(\000e\000.\000g\000.\000 \0001\0009\0006\0000 \031\ +\000s\000 \000e\000r\000a\000 ) +/Dest [ 81 0 R /XYZ 343 0 null ] +/Parent 94 0 R +/Prev 97 0 R +>> +endobj +97 0 obj +<< +/Title (Enables for register updates) +/Dest [ 78 0 R /XYZ 371 0 null ] +/Parent 94 0 R +/Prev 98 0 R +/Next 96 0 R +/First 99 0 R +/Last 99 0 R +/Count 3 +>> +endobj +98 0 obj +<< +/Title (No pipelines) +/Dest [ 78 0 R /XYZ 122 0 null ] +/Parent 94 0 R +/Prev 102 0 R +/Next 97 0 R +>> +endobj +99 0 obj +<< +/Title () +/Dest [ 81 0 R /XYZ 90 0 null ] +/Parent 97 0 R +/First 100 0 R +/Last 100 0 R +/Count 2 +>> +endobj +100 0 obj +<< +/Title () +/Dest [ 81 0 R /XYZ 90 0 null ] +/Parent 99 0 R +/First 101 0 R +/Last 101 0 R +/Count 1 +>> +endobj +101 0 obj +<< +/Title (After FPGA Hello World) +/Dest [ 81 0 R /XYZ 90 0 null ] +/Parent 100 0 R +>> +endobj +102 0 obj +<< +/Title (RAM definitions) +/Dest [ 32 0 R /XYZ 168 0 null ] +/Parent 94 0 R +/Prev 103 0 R +/Next 98 0 R +/First 104 0 R +/Last 105 0 R +/Count 18 +>> +endobj +103 0 obj +<< +/Title (Processor \(d3_lem9_1min.vhd\):) +/Dest [ 32 0 R /XYZ 134 0 null ] +/Parent 94 0 R +/Prev 95 0 R +/Next 102 0 R +>> +endobj +104 0 obj +<< +/Title () +/Dest [ 35 0 R /XYZ 90 0 null ] +/Parent 102 0 R +/Next 119 0 R +/First 120 0 R +/Last 120 0 R +/Count 2 +>> +endobj +105 0 obj +<< +/Title (Combinatorial Section: normal instructions) +/Dest [ 47 0 R /XYZ 96 0 null ] +/Parent 102 0 R +/Prev 106 0 R +/First 107 0 R +/Last 107 0 R +/Count 11 +>> +endobj +106 0 obj +<< +/Title (Combinatorial Section: misc. instructions) +/Dest [ 44 0 R /XYZ 90 0 null ] +/Parent 102 0 R +/Prev 118 0 R +/Next 105 0 R +>> +endobj +107 0 obj +<< +/Title () +/Dest [ 50 0 R /XYZ 96 0 null ] +/Parent 105 0 R +/First 108 0 R +/Last 109 0 R +/Count 10 +>> +endobj +108 0 obj +<< +/Title (Block RAM presets) +/Dest [ 50 0 R /XYZ 96 0 null ] +/Parent 107 0 R +/Next 117 0 R +>> +endobj +109 0 obj +<< +/Title (Results:) +/Dest [ 78 0 R /XYZ 90 0 null ] +/Parent 107 0 R +/Prev 110 0 R +>> +endobj +110 0 obj +<< +/Title (Assembler: Hello World program) +/Dest [ 75 0 R /XYZ 96 0 null ] +/Parent 107 0 R +/Prev 111 0 R +/Next 109 0 R +>> +endobj +111 0 obj +<< +/Title (Assembler: Hello World program) +/Dest [ 72 0 R /XYZ 96 0 null ] +/Parent 107 0 R +/Prev 112 0 R +/Next 110 0 R +>> +endobj +112 0 obj +<< +/Title (Assembler: Hello World program) +/Dest [ 69 0 R /XYZ 96 0 null ] +/Parent 107 0 R +/Prev 113 0 R +/Next 111 0 R +>> +endobj +113 0 obj +<< +/Title (Assembler: Hello World program) +/Dest [ 66 0 R /XYZ 96 0 null ] +/Parent 107 0 R +/Prev 114 0 R +/Next 112 0 R +>> +endobj +114 0 obj +<< +/Title (Assembler: Hello World program) +/Dest [ 63 0 R /XYZ 96 0 null ] +/Parent 107 0 R +/Prev 115 0 R +/Next 113 0 R +>> +endobj +115 0 obj +<< +/Title (Assembler: op-code functions) +/Dest [ 57 0 R /XYZ 96 0 null ] +/Parent 107 0 R +/Prev 116 0 R +/Next 114 0 R +>> +endobj +116 0 obj +<< +/Title (Instruction buffer) +/Dest [ 54 0 R /XYZ 128 0 null ] +/Parent 107 0 R +/Prev 117 0 R +/Next 115 0 R +>> +endobj +117 0 obj +<< +/Title (Assembler Code Review) +/Dest [ 50 0 R /XYZ 134 0 null ] +/Parent 107 0 R +/Prev 108 0 R +/Next 116 0 R +>> +endobj +118 0 obj +<< +/Title (Combinatorial Section: state machine) +/Dest [ 41 0 R /XYZ 96 0 null ] +/Parent 102 0 R +/Prev 119 0 R +/Next 106 0 R +>> +endobj +119 0 obj +<< +/Title (Combinatorial Section: default values) +/Dest [ 38 0 R /XYZ 90 0 null ] +/Parent 102 0 R +/Prev 104 0 R +/Next 118 0 R +>> +endobj +120 0 obj +<< +/Title () +/Dest [ 35 0 R /XYZ 90 0 null ] +/Parent 104 0 R +/First 121 0 R +/Last 121 0 R +/Count 1 +>> +endobj +121 0 obj +<< +/Title (Register Update Section) +/Dest [ 35 0 R /XYZ 90 0 null ] +/Parent 120 0 R +>> +endobj +122 0 obj +<< +/Title (Instruction Set) +/Dest [ 29 0 R /XYZ 96 0 null ] +/Parent 95 0 R +/First 123 0 R +/Last 123 0 R +/Count 1 +>> +endobj +123 0 obj +<< +/Title (VHDL Code Review) +/Dest [ 32 0 R /XYZ 96 0 null ] +/Parent 122 0 R +>> +endobj +124 0 obj +<< +/Title (Single Clock Synchronous Design) +/Dest [ 14 0 R /XYZ 134 0 null ] +/Parent 93 0 R +/Next 131 0 R +/First 132 0 R +/Last 132 0 R +/Count 1 +>> +endobj +125 0 obj +<< +/Title (and registers/FF on next rising clock edge) +/Dest [ 14 0 R /XYZ 430 0 null ] +/Parent 93 0 R +/Prev 126 0 R +/First 127 0 R +/Last 127 0 R +/Count 4 +>> +endobj +126 0 obj +<< +/Title (Write combinatorial result back to distributed RAM, block RAM,) +/Dest [ 14 0 R /XYZ 397 0 null ] +/Parent 93 0 R +/Prev 131 0 R +/Next 125 0 R +>> +endobj +127 0 obj +<< +/Title () +/Dest [ 17 0 R /XYZ 90 0 null ] +/Parent 125 0 R +/First 128 0 R +/Last 128 0 R +/Count 3 +>> +endobj +128 0 obj +<< +/Title () +/Dest [ 17 0 R /XYZ 90 0 null ] +/Parent 127 0 R +/First 129 0 R +/Last 129 0 R +/Count 2 +>> +endobj +129 0 obj +<< +/Title () +/Dest [ 17 0 R /XYZ 90 0 null ] +/Parent 128 0 R +/First 130 0 R +/Last 130 0 R +/Count 1 +>> +endobj +130 0 obj +<< +/Title (Design Realm) +/Dest [ 17 0 R /XYZ 90 0 null ] +/Parent 129 0 R +>> +endobj +131 0 obj +<< +/Title (Block RAM synchronous only) +/Dest [ 14 0 R /XYZ 195 0 null ] +/Parent 93 0 R +/Prev 124 0 R +/Next 126 0 R +>> +endobj +132 0 obj +<< +/Title (All FF & registers clocked on rising edge) +/Dest [ 14 0 R /XYZ 161 0 null ] +/Parent 124 0 R +>> +endobj +133 0 obj +<< +/Title (Rational) +/Dest [ 1 0 R /XYZ 150 0 null ] +/Parent 91 0 R +/First 134 0 R +/Last 135 0 R +/Count 16 +>> +endobj +134 0 obj +<< +/Title () +/Dest [ 1 0 R /XYZ 371 0 null ] +/Parent 133 0 R +/Next 143 0 R +/First 144 0 R +/Last 144 0 R +/Count 6 +>> +endobj +135 0 obj +<< +/Title (Switches, LEDs, buttons) +/Dest [ 10 0 R /XYZ 374 0 null ] +/Parent 133 0 R +/Prev 136 0 R +>> +endobj +136 0 obj +<< +/Title (Four digit / 7-segment multiplexed display) +/Dest [ 10 0 R /XYZ 295 0 null ] +/Parent 133 0 R +/Prev 137 0 R +/Next 135 0 R +>> +endobj +137 0 obj +<< +/Title (JTAG: serial interface for initialization & readout of flipflops) +/Dest [ 7 0 R /XYZ 269 0 null ] +/Parent 133 0 R +/Prev 138 0 R +/Next 136 0 R +/First 139 0 R +/Last 139 0 R +/Count 3 +>> +endobj +138 0 obj +<< +/Title (LUT: lookup table, typically four inputs & one output) +/Dest [ 7 0 R /XYZ 242 0 null ] +/Parent 133 0 R +/Prev 142 0 R +/Next 137 0 R +>> +endobj +139 0 obj +<< +/Title () +/Dest [ 10 0 R /XYZ 90 0 null ] +/Parent 137 0 R +/First 140 0 R +/Last 140 0 R +/Count 2 +>> +endobj +140 0 obj +<< +/Title () +/Dest [ 10 0 R /XYZ 90 0 null ] +/Parent 139 0 R +/First 141 0 R +/Last 141 0 R +/Count 1 +>> +endobj +141 0 obj +<< +/Title (Spartan-3 Evaluation Board) +/Dest [ 10 0 R /XYZ 90 0 null ] +/Parent 140 0 R +>> +endobj +142 0 obj +<< +/Title (FPGA: Field Programmable Gate Array) +/Dest [ 7 0 R /XYZ 122 0 null ] +/Parent 133 0 R +/Prev 143 0 R +/Next 138 0 R +>> +endobj +143 0 obj +<< +/Title (Summary) +/Dest [ 1 0 R /XYZ 463 0 null ] +/Parent 133 0 R +/Prev 134 0 R +/Next 142 0 R +>> +endobj +144 0 obj +<< +/Title () +/Dest [ 1 0 R /XYZ 371 0 null ] +/Parent 134 0 R +/First 145 0 R +/Last 145 0 R +/Count 5 +>> +endobj +145 0 obj +<< +/Title () +/Dest [ 1 0 R /XYZ 371 0 null ] +/Parent 144 0 R +/First 146 0 R +/Last 146 0 R +/Count 4 +>> +endobj +146 0 obj +<< +/Title () +/Dest [ 1 0 R /XYZ 371 0 null ] +/Parent 145 0 R +/First 147 0 R +/Last 147 0 R +/Count 3 +>> +endobj +147 0 obj +<< +/Title () +/Dest [ 1 0 R /XYZ 371 0 null ] +/Parent 146 0 R +/First 148 0 R +/Last 148 0 R +/Count 2 +>> +endobj +148 0 obj +<< +/Title (\376\377 \034\000l\000e\000m\0009\000_\0001\000m\000i\000n \035\000 \000\ +m\000i\000c\000r\000o\000-\000c\000o\000n\000t\000r\000o\000l\000l\000e\000\ +r) +/Dest [ 1 0 R /XYZ 371 0 null ] +/Parent 147 0 R +/First 149 0 R +/Last 149 0 R +/Count 1 +>> +endobj +149 0 obj +<< +/Title (VHDL code) +/Dest [ 1 0 R /XYZ 394 0 null ] +/Parent 148 0 R +>> +endobj +150 0 obj +<< +/S /Document +/P 504 0 R +/K [ 151 0 R ] +>> +endobj +151 0 obj +<< +/S /Sect +/P 150 0 R +/K [ 152 0 R 153 0 R 154 0 R 155 0 R 156 0 R 157 0 R 158 0 R 159 0 R 160 0 R +161 0 R 162 0 R 163 0 R 164 0 R 165 0 R 166 0 R 167 0 R 168 0 R +169 0 R 170 0 R 171 0 R 172 0 R 173 0 R 174 0 R 175 0 R 176 0 R +177 0 R 178 0 R 179 0 R 180 0 R 181 0 R 182 0 R 183 0 R 184 0 R +185 0 R 186 0 R 187 0 R 188 0 R 189 0 R 190 0 R 191 0 R 192 0 R +193 0 R 194 0 R 195 0 R 196 0 R 197 0 R 198 0 R 199 0 R 200 0 R +201 0 R 202 0 R 203 0 R 204 0 R 205 0 R 206 0 R 207 0 R 208 0 R +209 0 R 210 0 R 211 0 R 212 0 R 213 0 R 214 0 R 215 0 R 216 0 R +217 0 R 218 0 R 219 0 R 220 0 R 221 0 R 222 0 R 223 0 R 224 0 R +225 0 R 226 0 R 227 0 R 228 0 R 229 0 R 230 0 R 231 0 R 232 0 R +233 0 R 234 0 R 235 0 R 236 0 R 237 0 R 238 0 R 239 0 R 240 0 R +241 0 R 242 0 R 243 0 R 244 0 R 245 0 R 246 0 R 247 0 R 248 0 R +249 0 R 250 0 R 251 0 R 252 0 R 253 0 R 254 0 R 255 0 R 256 0 R +257 0 R 258 0 R 259 0 R 260 0 R 261 0 R 262 0 R 263 0 R 264 0 R +265 0 R 266 0 R 267 0 R 268 0 R 269 0 R 270 0 R 271 0 R 272 0 R +273 0 R 274 0 R 275 0 R 276 0 R 277 0 R 278 0 R 279 0 R 280 0 R +281 0 R 282 0 R 283 0 R 284 0 R 285 0 R 286 0 R 287 0 R 288 0 R +289 0 R 290 0 R 291 0 R 292 0 R 293 0 R 294 0 R 295 0 R 296 0 R +297 0 R 298 0 R 299 0 R 300 0 R 301 0 R 302 0 R 303 0 R 304 0 R +305 0 R 306 0 R 307 0 R 308 0 R 309 0 R 310 0 R 311 0 R 312 0 R +313 0 R 314 0 R 315 0 R 316 0 R 317 0 R 318 0 R 319 0 R 320 0 R +321 0 R 322 0 R 323 0 R 324 0 R 325 0 R 326 0 R 327 0 R 328 0 R +329 0 R 330 0 R 331 0 R 332 0 R 333 0 R 334 0 R 335 0 R 336 0 R +337 0 R 338 0 R 339 0 R 340 0 R 341 0 R 342 0 R 343 0 R 344 0 R +345 0 R 346 0 R 347 0 R 348 0 R 349 0 R 350 0 R 351 0 R 352 0 R +353 0 R 354 0 R 355 0 R 356 0 R 357 0 R 358 0 R 359 0 R 360 0 R +361 0 R 362 0 R 363 0 R 364 0 R 365 0 R 366 0 R 367 0 R 368 0 R +369 0 R 370 0 R 371 0 R 372 0 R 373 0 R 374 0 R 375 0 R 376 0 R +377 0 R 378 0 R 379 0 R 380 0 R 381 0 R 382 0 R 383 0 R 384 0 R +385 0 R 386 0 R 387 0 R 388 0 R 389 0 R 390 0 R 391 0 R 392 0 R +393 0 R 394 0 R 395 0 R 396 0 R 397 0 R 398 0 R 399 0 R 400 0 R +401 0 R 402 0 R 403 0 R 404 0 R 405 0 R 406 0 R 407 0 R 408 0 R +409 0 R 410 0 R 411 0 R 412 0 R 413 0 R 414 0 R 415 0 R 416 0 R +417 0 R 418 0 R 419 0 R 420 0 R 421 0 R 422 0 R 423 0 R 424 0 R +425 0 R 426 0 R 427 0 R 428 0 R 429 0 R 430 0 R 431 0 R 432 0 R +433 0 R 434 0 R 435 0 R 436 0 R 437 0 R 438 0 R 439 0 R 440 0 R +441 0 R 442 0 R 443 0 R 444 0 R 445 0 R 446 0 R 447 0 R 448 0 R +449 0 R 450 0 R 451 0 R 452 0 R 453 0 R 454 0 R 455 0 R 456 0 R +457 0 R 458 0 R 459 0 R 460 0 R ] +/T () +>> +endobj +152 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 505 0 R +>> +endobj +153 0 obj +<< +/S /P +/P 151 0 R +/Pg 505 0 R +/T () +>> +endobj +154 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 505 0 R +>> +endobj +155 0 obj +<< +/S /P +/P 151 0 R +/Pg 505 0 R +/T () +>> +endobj +156 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 505 0 R +>> +endobj +157 0 obj +<< +/S /P +/P 151 0 R +/Pg 505 0 R +/T () +>> +endobj +158 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 505 0 R +>> +endobj +159 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 505 0 R +>> +endobj +160 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 505 0 R +>> +endobj +161 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 505 0 R +>> +endobj +162 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 1 0 R +>> +endobj +163 0 obj +<< +/S /P +/P 151 0 R +/Pg 1 0 R +/T () +>> +endobj +164 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 1 0 R +>> +endobj +165 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 1 0 R +>> +endobj +166 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 1 0 R +>> +endobj +167 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 1 0 R +>> +endobj +168 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 1 0 R +>> +endobj +169 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 1 0 R +>> +endobj +170 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 1 0 R +>> +endobj +171 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 1 0 R +>> +endobj +172 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 1 0 R +>> +endobj +173 0 obj +<< +/S /P +/P 151 0 R +/K [ 11 ] +/Pg 1 0 R +>> +endobj +174 0 obj +<< +/S /P +/P 151 0 R +/K [ 12 ] +/Pg 1 0 R +>> +endobj +175 0 obj +<< +/S /P +/P 151 0 R +/K [ 13 ] +/Pg 1 0 R +>> +endobj +176 0 obj +<< +/S /P +/P 151 0 R +/K [ 14 ] +/Pg 1 0 R +>> +endobj +177 0 obj +<< +/S /P +/P 151 0 R +/K [ 15 ] +/Pg 1 0 R +>> +endobj +178 0 obj +<< +/S /P +/P 151 0 R +/K [ 16 ] +/Pg 1 0 R +>> +endobj +179 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 4 0 R +>> +endobj +180 0 obj +<< +/S /P +/P 151 0 R +/K [ 1 ] +/Pg 4 0 R +>> +endobj +181 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 4 0 R +>> +endobj +182 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 4 0 R +>> +endobj +183 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 4 0 R +>> +endobj +184 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 4 0 R +>> +endobj +185 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 4 0 R +>> +endobj +186 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 4 0 R +>> +endobj +187 0 obj +<< +/S /P +/P 151 0 R +/Pg 4 0 R +/T () +>> +endobj +188 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 4 0 R +>> +endobj +189 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 4 0 R +>> +endobj +190 0 obj +<< +/S /P +/P 151 0 R +/K [ 11 ] +/Pg 4 0 R +>> +endobj +191 0 obj +<< +/S /P +/P 151 0 R +/K [ 12 ] +/Pg 4 0 R +>> +endobj +192 0 obj +<< +/S /P +/P 151 0 R +/K [ 13 ] +/Pg 4 0 R +>> +endobj +193 0 obj +<< +/S /P +/P 151 0 R +/K [ 14 ] +/Pg 4 0 R +>> +endobj +194 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 7 0 R +>> +endobj +195 0 obj +<< +/S /P +/P 151 0 R +/K [ 1 ] +/Pg 7 0 R +>> +endobj +196 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 7 0 R +>> +endobj +197 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 7 0 R +>> +endobj +198 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 7 0 R +>> +endobj +199 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 7 0 R +>> +endobj +200 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 7 0 R +>> +endobj +201 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 7 0 R +>> +endobj +202 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 7 0 R +>> +endobj +203 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 7 0 R +>> +endobj +204 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 7 0 R +>> +endobj +205 0 obj +<< +/S /P +/P 151 0 R +/K [ 11 ] +/Pg 7 0 R +>> +endobj +206 0 obj +<< +/S /P +/P 151 0 R +/K [ 12 ] +/Pg 7 0 R +>> +endobj +207 0 obj +<< +/S /P +/P 151 0 R +/K [ 13 ] +/Pg 7 0 R +>> +endobj +208 0 obj +<< +/S /P +/P 151 0 R +/K [ 14 ] +/Pg 7 0 R +>> +endobj +209 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 10 0 R +>> +endobj +210 0 obj +<< +/S /P +/P 151 0 R +/Pg 10 0 R +/T () +>> +endobj +211 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 10 0 R +>> +endobj +212 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 10 0 R +>> +endobj +213 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 10 0 R +>> +endobj +214 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 10 0 R +>> +endobj +215 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 10 0 R +>> +endobj +216 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 10 0 R +>> +endobj +217 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 10 0 R +>> +endobj +218 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 10 0 R +>> +endobj +219 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 10 0 R +>> +endobj +220 0 obj +<< +/S /P +/P 151 0 R +/K [ 11 ] +/Pg 10 0 R +>> +endobj +221 0 obj +<< +/S /P +/P 151 0 R +/K [ 12 ] +/Pg 10 0 R +>> +endobj +222 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 14 0 R +>> +endobj +223 0 obj +<< +/S /P +/P 151 0 R +/Pg 14 0 R +/T () +>> +endobj +224 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 14 0 R +>> +endobj +225 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 14 0 R +>> +endobj +226 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 14 0 R +>> +endobj +227 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 14 0 R +>> +endobj +228 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 14 0 R +>> +endobj +229 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 14 0 R +>> +endobj +230 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 14 0 R +>> +endobj +231 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 14 0 R +>> +endobj +232 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 14 0 R +>> +endobj +233 0 obj +<< +/S /P +/P 151 0 R +/K [ 11 ] +/Pg 14 0 R +>> +endobj +234 0 obj +<< +/S /P +/P 151 0 R +/K [ 12 ] +/Pg 14 0 R +>> +endobj +235 0 obj +<< +/S /P +/P 151 0 R +/K [ 13 ] +/Pg 14 0 R +>> +endobj +236 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 17 0 R +>> +endobj +237 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 26 0 R +>> +endobj +238 0 obj +<< +/S /P +/P 151 0 R +/Pg 26 0 R +/T () +>> +endobj +239 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 26 0 R +>> +endobj +240 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 26 0 R +>> +endobj +241 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 26 0 R +>> +endobj +242 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 26 0 R +>> +endobj +243 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 26 0 R +>> +endobj +244 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 26 0 R +>> +endobj +245 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 26 0 R +>> +endobj +246 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 26 0 R +>> +endobj +247 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 29 0 R +>> +endobj +248 0 obj +<< +/S /P +/P 151 0 R +/K [ 1 ] +/Pg 29 0 R +>> +endobj +249 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 29 0 R +>> +endobj +250 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 29 0 R +>> +endobj +251 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 29 0 R +>> +endobj +252 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 29 0 R +>> +endobj +253 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 29 0 R +>> +endobj +254 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 29 0 R +>> +endobj +255 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 29 0 R +>> +endobj +256 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 29 0 R +>> +endobj +257 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 29 0 R +>> +endobj +258 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 32 0 R +>> +endobj +259 0 obj +<< +/S /P +/P 151 0 R +/K [ 1 ] +/Pg 32 0 R +>> +endobj +260 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 32 0 R +>> +endobj +261 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 32 0 R +>> +endobj +262 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 32 0 R +>> +endobj +263 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 32 0 R +>> +endobj +264 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 32 0 R +>> +endobj +265 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 32 0 R +>> +endobj +266 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 32 0 R +>> +endobj +267 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 32 0 R +>> +endobj +268 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 32 0 R +>> +endobj +269 0 obj +<< +/S /P +/P 151 0 R +/K [ 11 ] +/Pg 32 0 R +>> +endobj +270 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 35 0 R +>> +endobj +271 0 obj +<< +/S /P +/P 151 0 R +/K [ 1 ] +/Pg 35 0 R +>> +endobj +272 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 35 0 R +>> +endobj +273 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 35 0 R +>> +endobj +274 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 35 0 R +>> +endobj +275 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 35 0 R +>> +endobj +276 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 35 0 R +>> +endobj +277 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 35 0 R +>> +endobj +278 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 35 0 R +>> +endobj +279 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 35 0 R +>> +endobj +280 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 35 0 R +>> +endobj +281 0 obj +<< +/S /P +/P 151 0 R +/K [ 11 ] +/Pg 35 0 R +>> +endobj +282 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 38 0 R +>> +endobj +283 0 obj +<< +/S /P +/P 151 0 R +/K [ 1 ] +/Pg 38 0 R +>> +endobj +284 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 38 0 R +>> +endobj +285 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 38 0 R +>> +endobj +286 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 38 0 R +>> +endobj +287 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 38 0 R +>> +endobj +288 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 38 0 R +>> +endobj +289 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 41 0 R +>> +endobj +290 0 obj +<< +/S /P +/P 151 0 R +/K [ 1 ] +/Pg 41 0 R +>> +endobj +291 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 41 0 R +>> +endobj +292 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 41 0 R +>> +endobj +293 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 41 0 R +>> +endobj +294 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 41 0 R +>> +endobj +295 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 41 0 R +>> +endobj +296 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 41 0 R +>> +endobj +297 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 41 0 R +>> +endobj +298 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 41 0 R +>> +endobj +299 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 41 0 R +>> +endobj +300 0 obj +<< +/S /P +/P 151 0 R +/K [ 11 ] +/Pg 41 0 R +>> +endobj +301 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 44 0 R +>> +endobj +302 0 obj +<< +/S /P +/P 151 0 R +/K [ 1 ] +/Pg 44 0 R +>> +endobj +303 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 44 0 R +>> +endobj +304 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 44 0 R +>> +endobj +305 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 44 0 R +>> +endobj +306 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 44 0 R +>> +endobj +307 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 44 0 R +>> +endobj +308 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 44 0 R +>> +endobj +309 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 44 0 R +>> +endobj +310 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 44 0 R +>> +endobj +311 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 44 0 R +>> +endobj +312 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 47 0 R +>> +endobj +313 0 obj +<< +/S /P +/P 151 0 R +/K [ 1 ] +/Pg 47 0 R +>> +endobj +314 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 47 0 R +>> +endobj +315 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 47 0 R +>> +endobj +316 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 47 0 R +>> +endobj +317 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 47 0 R +>> +endobj +318 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 47 0 R +>> +endobj +319 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 47 0 R +>> +endobj +320 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 47 0 R +>> +endobj +321 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 47 0 R +>> +endobj +322 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 47 0 R +>> +endobj +323 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 50 0 R +>> +endobj +324 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 54 0 R +>> +endobj +325 0 obj +<< +/S /P +/P 151 0 R +/K [ 1 ] +/Pg 54 0 R +>> +endobj +326 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 54 0 R +>> +endobj +327 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 54 0 R +>> +endobj +328 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 54 0 R +>> +endobj +329 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 54 0 R +>> +endobj +330 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 54 0 R +>> +endobj +331 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 54 0 R +>> +endobj +332 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 54 0 R +>> +endobj +333 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 54 0 R +>> +endobj +334 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 54 0 R +>> +endobj +335 0 obj +<< +/S /P +/P 151 0 R +/K [ 11 ] +/Pg 54 0 R +>> +endobj +336 0 obj +<< +/S /P +/P 151 0 R +/K [ 12 ] +/Pg 54 0 R +>> +endobj +337 0 obj +<< +/S /P +/P 151 0 R +/K [ 13 ] +/Pg 54 0 R +>> +endobj +338 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 57 0 R +>> +endobj +339 0 obj +<< +/S /P +/P 151 0 R +/Pg 57 0 R +/T () +>> +endobj +340 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 57 0 R +>> +endobj +341 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 57 0 R +>> +endobj +342 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 57 0 R +>> +endobj +343 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 57 0 R +>> +endobj +344 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 57 0 R +>> +endobj +345 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 57 0 R +>> +endobj +346 0 obj +<< +/S /P +/P 151 0 R +/Pg 57 0 R +/T () +>> +endobj +347 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 57 0 R +>> +endobj +348 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 57 0 R +>> +endobj +349 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 60 0 R +>> +endobj +350 0 obj +<< +/S /P +/P 151 0 R +/Pg 60 0 R +/T () +>> +endobj +351 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 60 0 R +>> +endobj +352 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 60 0 R +>> +endobj +353 0 obj +<< +/S /P +/P 151 0 R +/Pg 60 0 R +/T () +>> +endobj +354 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 60 0 R +>> +endobj +355 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 60 0 R +>> +endobj +356 0 obj +<< +/S /P +/P 151 0 R +/Pg 60 0 R +/T () +>> +endobj +357 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 60 0 R +>> +endobj +358 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 60 0 R +>> +endobj +359 0 obj +<< +/S /P +/P 151 0 R +/Pg 60 0 R +/T () +>> +endobj +360 0 obj +<< +/S /P +/P 151 0 R +/K [ 11 ] +/Pg 60 0 R +>> +endobj +361 0 obj +<< +/S /P +/P 151 0 R +/Pg 60 0 R +/T () +>> +endobj +362 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 63 0 R +>> +endobj +363 0 obj +<< +/S /P +/P 151 0 R +/Pg 63 0 R +/T () +>> +endobj +364 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 63 0 R +>> +endobj +365 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 63 0 R +>> +endobj +366 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 63 0 R +>> +endobj +367 0 obj +<< +/S /P +/P 151 0 R +/Pg 63 0 R +/T () +>> +endobj +368 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 63 0 R +>> +endobj +369 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 63 0 R +>> +endobj +370 0 obj +<< +/S /P +/P 151 0 R +/Pg 63 0 R +/T () +>> +endobj +371 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 63 0 R +>> +endobj +372 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 63 0 R +>> +endobj +373 0 obj +<< +/S /P +/P 151 0 R +/K [ 11 ] +/Pg 63 0 R +>> +endobj +374 0 obj +<< +/S /P +/P 151 0 R +/K [ 12 ] +/Pg 63 0 R +>> +endobj +375 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 66 0 R +>> +endobj +376 0 obj +<< +/S /P +/P 151 0 R +/Pg 66 0 R +/T () +>> +endobj +377 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 66 0 R +>> +endobj +378 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 66 0 R +>> +endobj +379 0 obj +<< +/S /P +/P 151 0 R +/Pg 66 0 R +/T () +>> +endobj +380 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 66 0 R +>> +endobj +381 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 66 0 R +>> +endobj +382 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 66 0 R +>> +endobj +383 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 66 0 R +>> +endobj +384 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 69 0 R +>> +endobj +385 0 obj +<< +/S /P +/P 151 0 R +/Pg 69 0 R +/T () +>> +endobj +386 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 69 0 R +>> +endobj +387 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 69 0 R +>> +endobj +388 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 69 0 R +>> +endobj +389 0 obj +<< +/S /P +/P 151 0 R +/Pg 69 0 R +>> +endobj +390 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 69 0 R +>> +endobj +391 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 69 0 R +>> +endobj +392 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 72 0 R +>> +endobj +393 0 obj +<< +/S /P +/P 151 0 R +/Pg 72 0 R +/T () +>> +endobj +394 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 72 0 R +>> +endobj +395 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 72 0 R +>> +endobj +396 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 72 0 R +>> +endobj +397 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 72 0 R +>> +endobj +398 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 72 0 R +>> +endobj +399 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 72 0 R +>> +endobj +400 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 72 0 R +>> +endobj +401 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 72 0 R +>> +endobj +402 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 72 0 R +>> +endobj +403 0 obj +<< +/S /P +/P 151 0 R +/K [ 11 ] +/Pg 72 0 R +>> +endobj +404 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 75 0 R +>> +endobj +405 0 obj +<< +/S /P +/P 151 0 R +/K [ 1 ] +/Pg 75 0 R +>> +endobj +406 0 obj +<< +/S /P +/P 151 0 R +/Pg 75 0 R +/T () +>> +endobj +407 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 75 0 R +>> +endobj +408 0 obj +<< +/S /P +/P 151 0 R +/Pg 75 0 R +/T () +>> +endobj +409 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 75 0 R +>> +endobj +410 0 obj +<< +/S /P +/P 151 0 R +/Pg 75 0 R +/T () +>> +endobj +411 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 75 0 R +>> +endobj +412 0 obj +<< +/S /P +/P 151 0 R +/Pg 75 0 R +/T () +>> +endobj +413 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 75 0 R +>> +endobj +414 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 75 0 R +>> +endobj +415 0 obj +<< +/S /P +/P 151 0 R +/K [ 11 ] +/Pg 75 0 R +>> +endobj +416 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 78 0 R +>> +endobj +417 0 obj +<< +/S /P +/P 151 0 R +/K [ 1 ] +/Pg 78 0 R +>> +endobj +418 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 78 0 R +>> +endobj +419 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 78 0 R +>> +endobj +420 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 78 0 R +>> +endobj +421 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 78 0 R +>> +endobj +422 0 obj +<< +/S /P +/P 151 0 R +/Pg 78 0 R +>> +endobj +423 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 78 0 R +>> +endobj +424 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 78 0 R +>> +endobj +425 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 78 0 R +>> +endobj +426 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 78 0 R +>> +endobj +427 0 obj +<< +/S /P +/P 151 0 R +/K [ 11 ] +/Pg 78 0 R +>> +endobj +428 0 obj +<< +/S /P +/P 151 0 R +/Pg 78 0 R +/T () +>> +endobj +429 0 obj +<< +/S /P +/P 151 0 R +/K [ 13 ] +/Pg 78 0 R +>> +endobj +430 0 obj +<< +/S /P +/P 151 0 R +/K [ 14 ] +/Pg 78 0 R +>> +endobj +431 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 81 0 R +>> +endobj +432 0 obj +<< +/S /P +/P 151 0 R +/Pg 81 0 R +/T () +>> +endobj +433 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 81 0 R +>> +endobj +434 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 81 0 R +>> +endobj +435 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 81 0 R +>> +endobj +436 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 81 0 R +>> +endobj +437 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 81 0 R +>> +endobj +438 0 obj +<< +/S /P +/P 151 0 R +/Pg 81 0 R +/T () +>> +endobj +439 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 81 0 R +>> +endobj +440 0 obj +<< +/S /P +/P 151 0 R +/K [ 9 ] +/Pg 81 0 R +>> +endobj +441 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 81 0 R +>> +endobj +442 0 obj +<< +/S /P +/P 151 0 R +/K [ 11 ] +/Pg 81 0 R +>> +endobj +443 0 obj +<< +/S /P +/P 151 0 R +/K [ 12 ] +/Pg 81 0 R +>> +endobj +444 0 obj +<< +/S /P +/P 151 0 R +/K [ 13 ] +/Pg 81 0 R +>> +endobj +445 0 obj +<< +/S /P +/P 151 0 R +/K [ 14 ] +/Pg 81 0 R +>> +endobj +446 0 obj +<< +/S /P +/P 151 0 R +/K [ 0 ] +/Pg 84 0 R +>> +endobj +447 0 obj +<< +/S /P +/P 151 0 R +/Pg 84 0 R +/T () +>> +endobj +448 0 obj +<< +/S /P +/P 151 0 R +/K [ 2 ] +/Pg 84 0 R +>> +endobj +449 0 obj +<< +/S /P +/P 151 0 R +/K [ 3 ] +/Pg 84 0 R +>> +endobj +450 0 obj +<< +/S /P +/P 151 0 R +/K [ 4 ] +/Pg 84 0 R +>> +endobj +451 0 obj +<< +/S /P +/P 151 0 R +/K [ 5 ] +/Pg 84 0 R +>> +endobj +452 0 obj +<< +/S /P +/P 151 0 R +/K [ 6 ] +/Pg 84 0 R +>> +endobj +453 0 obj +<< +/S /P +/P 151 0 R +/K [ 7 ] +/Pg 84 0 R +>> +endobj +454 0 obj +<< +/S /P +/P 151 0 R +/K [ 8 ] +/Pg 84 0 R +>> +endobj +455 0 obj +<< +/S /P +/P 151 0 R +/Pg 84 0 R +/T () +>> +endobj +456 0 obj +<< +/S /P +/P 151 0 R +/K [ 10 ] +/Pg 84 0 R +>> +endobj +457 0 obj +<< +/S /P +/P 151 0 R +/K [ 11 ] +/Pg 84 0 R +>> +endobj +458 0 obj +<< +/S /P +/P 151 0 R +/K [ 12 ] +/Pg 84 0 R +>> +endobj +459 0 obj +<< +/S /P +/P 151 0 R +/K [ 13 ] +/Pg 84 0 R +>> +endobj +460 0 obj +<< +/S /P +/P 151 0 R +/K [ 14 ] +/Pg 84 0 R +>> +endobj +461 0 obj +[ +152 0 R null 154 0 R null 156 0 R null 158 0 R 159 0 R 160 0 R 161 0 R + +] +endobj +462 0 obj +[ +162 0 R null 164 0 R 165 0 R 166 0 R 167 0 R 168 0 R 169 0 R 170 0 R +171 0 R 172 0 R 173 0 R 174 0 R 175 0 R 176 0 R 177 0 R 178 0 R + +] +endobj +463 0 obj +[ +179 0 R 180 0 R 181 0 R 182 0 R 183 0 R 184 0 R 185 0 R 186 0 R null +188 0 R 189 0 R 190 0 R 191 0 R 192 0 R 193 0 R +] +endobj +464 0 obj +[ +194 0 R 195 0 R 196 0 R 197 0 R 198 0 R 199 0 R 200 0 R 201 0 R 202 0 R +203 0 R 204 0 R 205 0 R 206 0 R 207 0 R 208 0 R +] +endobj +465 0 obj +[ +209 0 R null 211 0 R 212 0 R 213 0 R 214 0 R 215 0 R 216 0 R 217 0 R +218 0 R 219 0 R 220 0 R 221 0 R +] +endobj +466 0 obj +[ +222 0 R null 224 0 R 225 0 R 226 0 R 227 0 R 228 0 R 229 0 R 230 0 R +231 0 R 232 0 R 233 0 R 234 0 R 235 0 R +] +endobj +467 0 obj +[ +236 0 R +] +endobj +468 0 obj +[ +237 0 R null 239 0 R 240 0 R 241 0 R 242 0 R 243 0 R 244 0 R 245 0 R +246 0 R +] +endobj +469 0 obj +[ +247 0 R 248 0 R 249 0 R 250 0 R 251 0 R 252 0 R 253 0 R 254 0 R 255 0 R +256 0 R 257 0 R +] +endobj +470 0 obj +[ +258 0 R 259 0 R 260 0 R 261 0 R 262 0 R 263 0 R 264 0 R 265 0 R 266 0 R +267 0 R 268 0 R 269 0 R +] +endobj +471 0 obj +[ +270 0 R 271 0 R 272 0 R 273 0 R 274 0 R 275 0 R 276 0 R 277 0 R 278 0 R +279 0 R 280 0 R 281 0 R +] +endobj +472 0 obj +[ +282 0 R 283 0 R 284 0 R 285 0 R 286 0 R 287 0 R 288 0 R +] +endobj +473 0 obj +[ +289 0 R 290 0 R 291 0 R 292 0 R 293 0 R 294 0 R 295 0 R 296 0 R 297 0 R +298 0 R 299 0 R 300 0 R +] +endobj +474 0 obj +[ +301 0 R 302 0 R 303 0 R 304 0 R 305 0 R 306 0 R 307 0 R 308 0 R 309 0 R +310 0 R 311 0 R +] +endobj +475 0 obj +[ +312 0 R 313 0 R 314 0 R 315 0 R 316 0 R 317 0 R 318 0 R 319 0 R 320 0 R +321 0 R 322 0 R +] +endobj +476 0 obj +[ +323 0 R +] +endobj +477 0 obj +[ +324 0 R 325 0 R 326 0 R 327 0 R 328 0 R 329 0 R 330 0 R 331 0 R 332 0 R +333 0 R 334 0 R 335 0 R 336 0 R 337 0 R +] +endobj +478 0 obj +[ +338 0 R null 340 0 R 341 0 R 342 0 R 343 0 R 344 0 R 345 0 R null +347 0 R 348 0 R +] +endobj +479 0 obj +[ +349 0 R null 351 0 R 352 0 R null 354 0 R 355 0 R null 357 0 R 358 0 R +null 360 0 R null +] +endobj +480 0 obj +[ +362 0 R null 364 0 R 365 0 R 366 0 R null 368 0 R 369 0 R null 371 0 R +372 0 R 373 0 R 374 0 R +] +endobj +481 0 obj +[ +375 0 R null 377 0 R 378 0 R null 380 0 R 381 0 R 382 0 R 383 0 R + +] +endobj +482 0 obj +[ +384 0 R null 386 0 R 387 0 R 388 0 R null 390 0 R 391 0 R +] +endobj +483 0 obj +[ +392 0 R null 394 0 R 395 0 R 396 0 R 397 0 R 398 0 R 399 0 R 400 0 R +401 0 R 402 0 R 403 0 R +] +endobj +484 0 obj +[ +404 0 R 405 0 R null 407 0 R null 409 0 R null 411 0 R null 413 0 R +414 0 R 415 0 R +] +endobj +485 0 obj +[ +416 0 R 417 0 R 418 0 R 419 0 R 420 0 R 421 0 R null 423 0 R 424 0 R +425 0 R 426 0 R 427 0 R null 429 0 R 430 0 R +] +endobj +486 0 obj +[ +431 0 R null 433 0 R 434 0 R 435 0 R 436 0 R 437 0 R null 439 0 R +440 0 R 441 0 R 442 0 R 443 0 R 444 0 R 445 0 R +] +endobj +487 0 obj +[ +446 0 R null 448 0 R 449 0 R 450 0 R 451 0 R 452 0 R 453 0 R 454 0 R +null 456 0 R 457 0 R 458 0 R 459 0 R 460 0 R +] +endobj +488 0 obj +<< +/Nums [ 1 461 0 R 2 462 0 R 3 463 0 R 4 464 0 R 5 465 0 R 6 466 0 R 7 467 0 R +8 468 0 R 9 469 0 R 10 470 0 R 11 471 0 R 12 472 0 R 13 473 0 R +14 474 0 R 15 475 0 R 16 476 0 R 17 477 0 R 18 478 0 R 19 479 0 R +20 480 0 R 21 481 0 R 22 482 0 R 23 483 0 R 24 484 0 R 25 485 0 R +26 486 0 R 27 487 0 R ] +>> +endobj +489 0 obj +<< +/S /D +>> +endobj +490 0 obj +<< +/Nums [ 0 489 0 R ] +>> +endobj +491 0 obj +<< +/Producer (Acrobat Distiller 5.0 \(Windows\)) +/Author (James C Brakefield) +/Creator (Acrobat PDFMaker 5.0 for Word) +/ModDate (D:20041205130235-06'00') +/Title (Design & Implement a Custom Micro-Controller in One Week or Less) +/CreationDate (D:20041205130157-06'00') +>> +endobj +492 0 obj +<< /Type /Metadata /Subtype /XML /Length 1279 >> +stream +Design & Implement a Custom Micro-Controller in One Week or Less +Design & Implement a Custom Micro-Controller in One Week or Less +Design & Implement a Custom Micro-Controller in One Week or Less + +endstream +endobj +493 0 obj +<< +/Type /Pages +/Kids [ 505 0 R 1 0 R 4 0 R 7 0 R 10 0 R 14 0 R 17 0 R 26 0 R 29 0 R 32 0 R +] +/Count 10 +/Parent 494 0 R +>> +endobj +494 0 obj +<< +/Type /Pages +/Kids [ 493 0 R 495 0 R 496 0 R ] +/Count 27 +>> +endobj +495 0 obj +<< +/Type /Pages +/Kids [ 35 0 R 38 0 R 41 0 R 44 0 R 47 0 R 50 0 R 54 0 R 57 0 R 60 0 R 63 0 R +] +/Count 10 +/Parent 494 0 R +>> +endobj +496 0 obj +<< +/Type /Pages +/Kids [ 66 0 R 69 0 R 72 0 R 75 0 R 78 0 R 81 0 R 84 0 R ] +/Count 7 +/Parent 494 0 R +>> +endobj +xref +0 497 +0000000000 65535 f +0000077244 00000 n +0000077415 00000 n +0000077565 00000 n +0000078687 00000 n +0000078858 00000 n +0000079008 00000 n +0000080122 00000 n +0000080293 00000 n +0000080443 00000 n +0000081765 00000 n +0000081959 00000 n +0000082132 00000 n +0000082283 00000 n +0000083381 00000 n +0000083555 00000 n +0000083706 00000 n +0000084820 00000 n +0000085044 00000 n +0000085137 00000 n +0000085230 00000 n +0000085323 00000 n +0000085416 00000 n +0000085509 00000 n +0000085602 00000 n +0000085753 00000 n +0000088058 00000 n +0000088232 00000 n +0000088383 00000 n +0000089311 00000 n +0000089485 00000 n +0000089636 00000 n +0000090641 00000 n +0000090816 00000 n +0000090967 00000 n +0000091973 00000 n +0000092148 00000 n +0000092299 00000 n +0000093652 00000 n +0000093827 00000 n +0000093978 00000 n +0000094981 00000 n +0000095156 00000 n +0000095307 00000 n +0000096593 00000 n +0000096768 00000 n +0000096919 00000 n +0000098177 00000 n +0000098352 00000 n +0000098503 00000 n +0000099629 00000 n +0000099804 00000 n +0000099991 00000 n +0000100662 00000 n +0000141198 00000 n +0000141373 00000 n +0000141524 00000 n +0000142560 00000 n +0000142735 00000 n +0000142898 00000 n +0000143852 00000 n +0000144027 00000 n +0000144190 00000 n +0000145187 00000 n +0000145362 00000 n +0000145525 00000 n +0000146615 00000 n +0000146790 00000 n +0000146953 00000 n +0000147846 00000 n +0000148021 00000 n +0000148184 00000 n +0000149178 00000 n +0000149353 00000 n +0000149516 00000 n +0000150568 00000 n +0000150743 00000 n +0000150906 00000 n +0000151942 00000 n +0000152117 00000 n +0000152268 00000 n +0000153383 00000 n +0000153558 00000 n +0000153709 00000 n +0000154780 00000 n +0000154955 00000 n +0000155106 00000 n +0000156230 00000 n +0000156800 00000 n +0000157035 00000 n +0000189146 00000 n +0000189210 00000 n +0000189370 00000 n +0000189552 00000 n +0000189716 00000 n +0000189839 00000 n +0000189978 00000 n +0000190332 00000 n +0000190511 00000 n +0000190636 00000 n +0000190760 00000 n +0000190885 00000 n +0000190992 00000 n +0000191163 00000 n +0000191308 00000 n +0000191449 00000 n +0000191633 00000 n +0000191789 00000 n +0000191916 00000 n +0000192033 00000 n +0000192141 00000 n +0000192286 00000 n +0000192431 00000 n +0000192576 00000 n +0000192721 00000 n +0000192866 00000 n +0000193009 00000 n +0000193143 00000 n +0000193280 00000 n +0000193431 00000 n +0000193583 00000 n +0000193709 00000 n +0000193817 00000 n +0000193957 00000 n +0000194058 00000 n +0000194230 00000 n +0000194413 00000 n +0000194590 00000 n +0000194716 00000 n +0000194842 00000 n +0000194968 00000 n +0000195065 00000 n +0000195206 00000 n +0000195333 00000 n +0000195467 00000 n +0000195608 00000 n +0000195732 00000 n +0000195890 00000 n +0000196110 00000 n +0000196278 00000 n +0000196404 00000 n +0000196530 00000 n +0000196641 00000 n +0000196791 00000 n +0000196913 00000 n +0000197039 00000 n +0000197165 00000 n +0000197291 00000 n +0000197417 00000 n +0000197695 00000 n +0000197789 00000 n +0000197856 00000 n +0000200427 00000 n +0000200494 00000 n +0000200557 00000 n +0000200624 00000 n +0000200687 00000 n +0000200754 00000 n +0000200817 00000 n +0000200884 00000 n +0000200951 00000 n +0000201018 00000 n +0000201085 00000 n +0000201150 00000 n +0000201211 00000 n +0000201276 00000 n +0000201341 00000 n +0000201406 00000 n +0000201471 00000 n +0000201536 00000 n +0000201601 00000 n +0000201666 00000 n +0000201731 00000 n +0000201797 00000 n +0000201863 00000 n +0000201929 00000 n +0000201995 00000 n +0000202061 00000 n +0000202127 00000 n +0000202193 00000 n +0000202258 00000 n +0000202323 00000 n +0000202388 00000 n +0000202453 00000 n +0000202518 00000 n +0000202583 00000 n +0000202648 00000 n +0000202713 00000 n +0000202774 00000 n +0000202839 00000 n +0000202905 00000 n +0000202971 00000 n +0000203037 00000 n +0000203103 00000 n +0000203169 00000 n +0000203234 00000 n +0000203299 00000 n +0000203364 00000 n +0000203429 00000 n +0000203494 00000 n +0000203559 00000 n +0000203624 00000 n +0000203689 00000 n +0000203754 00000 n +0000203819 00000 n +0000203885 00000 n +0000203951 00000 n +0000204017 00000 n +0000204083 00000 n +0000204149 00000 n +0000204215 00000 n +0000204277 00000 n +0000204343 00000 n +0000204409 00000 n +0000204475 00000 n +0000204541 00000 n +0000204607 00000 n +0000204673 00000 n +0000204739 00000 n +0000204805 00000 n +0000204872 00000 n +0000204939 00000 n +0000205006 00000 n +0000205072 00000 n +0000205134 00000 n +0000205200 00000 n +0000205266 00000 n +0000205332 00000 n +0000205398 00000 n +0000205464 00000 n +0000205530 00000 n +0000205596 00000 n +0000205662 00000 n +0000205729 00000 n +0000205796 00000 n +0000205863 00000 n +0000205930 00000 n +0000205996 00000 n +0000206062 00000 n +0000206124 00000 n +0000206190 00000 n +0000206256 00000 n +0000206322 00000 n +0000206388 00000 n +0000206454 00000 n +0000206520 00000 n +0000206586 00000 n +0000206652 00000 n +0000206718 00000 n +0000206784 00000 n +0000206850 00000 n +0000206916 00000 n +0000206982 00000 n +0000207048 00000 n +0000207114 00000 n +0000207180 00000 n +0000207246 00000 n +0000207312 00000 n +0000207379 00000 n +0000207445 00000 n +0000207511 00000 n +0000207577 00000 n +0000207643 00000 n +0000207709 00000 n +0000207775 00000 n +0000207841 00000 n +0000207907 00000 n +0000207973 00000 n +0000208039 00000 n +0000208106 00000 n +0000208173 00000 n +0000208239 00000 n +0000208305 00000 n +0000208371 00000 n +0000208437 00000 n +0000208503 00000 n +0000208569 00000 n +0000208635 00000 n +0000208701 00000 n +0000208767 00000 n +0000208833 00000 n +0000208900 00000 n +0000208967 00000 n +0000209033 00000 n +0000209099 00000 n +0000209165 00000 n +0000209231 00000 n +0000209297 00000 n +0000209363 00000 n +0000209429 00000 n +0000209495 00000 n +0000209561 00000 n +0000209627 00000 n +0000209693 00000 n +0000209759 00000 n +0000209825 00000 n +0000209891 00000 n +0000209957 00000 n +0000210023 00000 n +0000210089 00000 n +0000210156 00000 n +0000210223 00000 n +0000210289 00000 n +0000210355 00000 n +0000210421 00000 n +0000210487 00000 n +0000210553 00000 n +0000210619 00000 n +0000210685 00000 n +0000210751 00000 n +0000210817 00000 n +0000210883 00000 n +0000210950 00000 n +0000211016 00000 n +0000211082 00000 n +0000211148 00000 n +0000211214 00000 n +0000211280 00000 n +0000211346 00000 n +0000211412 00000 n +0000211478 00000 n +0000211544 00000 n +0000211610 00000 n +0000211677 00000 n +0000211743 00000 n +0000211809 00000 n +0000211875 00000 n +0000211941 00000 n +0000212007 00000 n +0000212073 00000 n +0000212139 00000 n +0000212205 00000 n +0000212271 00000 n +0000212337 00000 n +0000212403 00000 n +0000212470 00000 n +0000212537 00000 n +0000212604 00000 n +0000212671 00000 n +0000212737 00000 n +0000212799 00000 n +0000212865 00000 n +0000212931 00000 n +0000212997 00000 n +0000213063 00000 n +0000213129 00000 n +0000213195 00000 n +0000213257 00000 n +0000213323 00000 n +0000213390 00000 n +0000213456 00000 n +0000213518 00000 n +0000213584 00000 n +0000213650 00000 n +0000213712 00000 n +0000213778 00000 n +0000213844 00000 n +0000213906 00000 n +0000213972 00000 n +0000214038 00000 n +0000214100 00000 n +0000214167 00000 n +0000214229 00000 n +0000214295 00000 n +0000214357 00000 n +0000214423 00000 n +0000214489 00000 n +0000214555 00000 n +0000214617 00000 n +0000214683 00000 n +0000214749 00000 n +0000214811 00000 n +0000214877 00000 n +0000214944 00000 n +0000215011 00000 n +0000215078 00000 n +0000215144 00000 n +0000215206 00000 n +0000215272 00000 n +0000215338 00000 n +0000215400 00000 n +0000215466 00000 n +0000215532 00000 n +0000215598 00000 n +0000215664 00000 n +0000215730 00000 n +0000215792 00000 n +0000215858 00000 n +0000215924 00000 n +0000215990 00000 n +0000216046 00000 n +0000216112 00000 n +0000216178 00000 n +0000216244 00000 n +0000216306 00000 n +0000216372 00000 n +0000216438 00000 n +0000216504 00000 n +0000216570 00000 n +0000216636 00000 n +0000216702 00000 n +0000216768 00000 n +0000216834 00000 n +0000216901 00000 n +0000216968 00000 n +0000217034 00000 n +0000217100 00000 n +0000217162 00000 n +0000217228 00000 n +0000217290 00000 n +0000217356 00000 n +0000217418 00000 n +0000217484 00000 n +0000217546 00000 n +0000217612 00000 n +0000217679 00000 n +0000217746 00000 n +0000217812 00000 n +0000217878 00000 n +0000217944 00000 n +0000218010 00000 n +0000218076 00000 n +0000218142 00000 n +0000218198 00000 n +0000218264 00000 n +0000218330 00000 n +0000218396 00000 n +0000218463 00000 n +0000218530 00000 n +0000218592 00000 n +0000218659 00000 n +0000218726 00000 n +0000218792 00000 n +0000218854 00000 n +0000218920 00000 n +0000218986 00000 n +0000219052 00000 n +0000219118 00000 n +0000219184 00000 n +0000219246 00000 n +0000219312 00000 n +0000219378 00000 n +0000219445 00000 n +0000219512 00000 n +0000219579 00000 n +0000219646 00000 n +0000219713 00000 n +0000219779 00000 n +0000219841 00000 n +0000219907 00000 n +0000219973 00000 n +0000220039 00000 n +0000220105 00000 n +0000220171 00000 n +0000220237 00000 n +0000220303 00000 n +0000220365 00000 n +0000220432 00000 n +0000220499 00000 n +0000220566 00000 n +0000220633 00000 n +0000220700 00000 n +0000220795 00000 n +0000220953 00000 n +0000221094 00000 n +0000221238 00000 n +0000221363 00000 n +0000221496 00000 n +0000221527 00000 n +0000221628 00000 n +0000221740 00000 n +0000221860 00000 n +0000221980 00000 n +0000222059 00000 n +0000222179 00000 n +0000222291 00000 n +0000222403 00000 n +0000222434 00000 n +0000222570 00000 n +0000222676 00000 n +0000222789 00000 n +0000222908 00000 n +0000222998 00000 n +0000223079 00000 n +0000223196 00000 n +0000223304 00000 n +0000223442 00000 n +0000223580 00000 n +0000223718 00000 n +0000224046 00000 n +0000224078 00000 n +0000224124 00000 n +0000224414 00000 n +0000225778 00000 n +0000225925 00000 n +0000226010 00000 n +0000226159 00000 n +trailer +<< +/Size 497 +/ID[<2e0df7083cb4ee891d571563ef31f00a>] +>> +startxref +173 +%%EOF Index: Form1.cs =================================================================== --- Form1.cs (nonexistent) +++ Form1.cs (revision 6) @@ -0,0 +1,353 @@ +using System; +using System.IO; +using System.Drawing; +using System.Collections; +using System.ComponentModel; +using System.Windows.Forms; + +namespace lem9_1min_asm +{ + /// + /// Summary description for Form1. + /// + public class Form1 : System.Windows.Forms.Form + { + private int IP; + private System.Windows.Forms.ListBox listBox1; + private int[] mem = new int[2048]; + private void HLT() {mem[IP++]=0;} //DEF 9B#000000000 ; wait for system clock + private void CACC() {mem[IP++]=0x10;} //DEF 9B#000010000 ; clear A, clear C + private void CASC() {mem[IP++]=0x11;} //DEF 9B#000010001 ; clear A. set C + private void SACC() {mem[IP++]=0x12;} //DEF 9B#000010010 ; set A, clear C + private void SASC() {mem[IP++]=0x13;} //DEF 9B#000010011 ; set A, set C + private void CC() {mem[IP++]=0x14;} //DEF 9B#000010100 ; clear C + private void SC() {mem[IP++]=0x15;} //DEF 9B#000010101 ; set C + private void CA() {mem[IP++]=0x16;} //DEF 9B#000010110 ; clear A + private void SA() {mem[IP++]=0x17;} //DEF 9B#000010111 ; set A + private void OR2C() {mem[IP++]=0x18;} //DEF 9B#000011000 ; A | C to C + private void NA() {mem[IP++]=0x19;} //DEF 9B#000011001 ; negate A + private void NC() {mem[IP++]=0x1a;} //DEF 9B#000011010 ; negate C + private void NANC() {mem[IP++]=0x1b;} //DEF 9B#000011011 ; negate A, negate C + private void AND2C(){mem[IP++]=0x1c;} //DEF 9B#000011100 ; A & C to C + private void C2A() {mem[IP++]=0x1d;} //DEF 9B#000011101 ; copy C to A + private void A2C() {mem[IP++]=0x1e;} //DEF 9B#000011110 ; copy A to C + private void XAC() {mem[IP++]=0x1f;} //DEF 9B#000011111 ; swap A and C + private void ST(int x) {mem[IP++]=0x040 | x;} //DEF ST,6VB#000000 ; store A at memory location + private void LD(int x) {mem[IP++]=0x080 | x;} //DEF LD,6VB#000000 ; load A from memory location + private void LDC(int x) {mem[IP++]=0x0c0 | x;} //DEF LDC,6VB#000000 ; load A complement from memory location + private void AND(int x) {mem[IP++]=0x100 | x;} //DEF AND,6VB#000000 ; AND memory location into A + private void OR(int x) {mem[IP++]=0x140 | x;} //DEF OR,6VB#000000 ; OR memory location into A + private void XOR(int x) {mem[IP++]=0x180 | x;} //DEF EOR,6VB#000000 ; XOR memory location into A + private void ADC(int x) {mem[IP++]=0x1c0 | x;} + private System.Windows.Forms.Label label1; + private System.Windows.Forms.TextBox textBox2; + private System.Windows.Forms.Button button1; + private System.Windows.Forms.Label label2; + private System.Windows.Forms.TextBox textBox3; + private System.Windows.Forms.Button button2; + /// + /// Required designer variable. + /// + private System.ComponentModel.Container components = null; + + public Form1() + { + // + // Required for Windows Form Designer support + // + InitializeComponent(); + + // + // TODO: Add any constructor code after InitializeComponent call + // + } + + /// + /// Clean up any resources being used. + /// + protected override void Dispose( bool disposing ) + { + if( disposing ) + { + if (components != null) + { + components.Dispose(); + } + } + base.Dispose( disposing ); + } + + + /// + /// The main entry point for the application. + /// + [STAThread] + static void Main() + { + Application.Run(new Form1()); + } + + #region Windows Form Designer generated code + /// + /// Required method for Designer support - do not modify + /// the contents of this method with the code editor. + /// + private void InitializeComponent() + { + this.label1 = new System.Windows.Forms.Label(); + this.textBox2 = new System.Windows.Forms.TextBox(); + this.button1 = new System.Windows.Forms.Button(); + this.label2 = new System.Windows.Forms.Label(); + this.textBox3 = new System.Windows.Forms.TextBox(); + this.button2 = new System.Windows.Forms.Button(); + this.listBox1 = new System.Windows.Forms.ListBox(); + this.SuspendLayout(); + // + // label1 + // + this.label1.Location = new System.Drawing.Point(152, 128); + this.label1.Name = "label1"; + this.label1.Size = new System.Drawing.Size(56, 16); + this.label1.TabIndex = 1; + this.label1.Text = "File Name"; + // + // textBox2 + // + this.textBox2.Location = new System.Drawing.Point(16, 152); + this.textBox2.Name = "textBox2"; + this.textBox2.Size = new System.Drawing.Size(280, 20); + this.textBox2.TabIndex = 2; + this.textBox2.Text = "lem1_9min_hw_041127"; + // + // button1 + // + this.button1.Location = new System.Drawing.Point(16, 184); + this.button1.Name = "button1"; + this.button1.Size = new System.Drawing.Size(64, 23); + this.button1.TabIndex = 3; + this.button1.Text = "save xmt"; + this.button1.Click += new System.EventHandler(this.button1_Click); + // + // label2 + // + this.label2.Font = new System.Drawing.Font("Microsoft Sans Serif", 10F, System.Drawing.FontStyle.Bold, System.Drawing.GraphicsUnit.Point, ((System.Byte)(0))); + this.label2.Location = new System.Drawing.Point(96, 0); + this.label2.Name = "label2"; + this.label2.Size = new System.Drawing.Size(112, 23); + this.label2.TabIndex = 5; + this.label2.Text = "lem1_9min_asm"; + // + // textBox3 + // + this.textBox3.AcceptsReturn = true; + this.textBox3.AcceptsTab = true; + this.textBox3.BorderStyle = System.Windows.Forms.BorderStyle.FixedSingle; + this.textBox3.Location = new System.Drawing.Point(320, 0); + this.textBox3.Multiline = true; + this.textBox3.Name = "textBox3"; + this.textBox3.ScrollBars = System.Windows.Forms.ScrollBars.Both; + this.textBox3.Size = new System.Drawing.Size(368, 616); + this.textBox3.TabIndex = 3; + this.textBox3.Text = "; lem1_9min listing"; + // + // button2 + // + this.button2.Location = new System.Drawing.Point(16, 104); + this.button2.Name = "button2"; + this.button2.Size = new System.Drawing.Size(64, 23); + this.button2.TabIndex = 6; + this.button2.Text = "assemble"; + this.button2.Click += new System.EventHandler(this.button2_Click); + // + // listBox1 + // + this.listBox1.Items.AddRange(new object[] { + "toggle", + "cntr24", + "HEllo UJord"}); + this.listBox1.Location = new System.Drawing.Point(16, 32); + this.listBox1.Name = "listBox1"; + this.listBox1.Size = new System.Drawing.Size(176, 56); + this.listBox1.TabIndex = 8; + // + // Form1 + // + this.AutoScaleBaseSize = new System.Drawing.Size(5, 13); + this.ClientSize = new System.Drawing.Size(696, 630); + this.Controls.AddRange(new System.Windows.Forms.Control[] { + this.listBox1, + this.button2, + this.textBox3, + this.label2, + this.button1, + this.textBox2, + this.label1}); + this.Name = "Form1"; + this.Text = "Form1"; + this.ResumeLayout(false); + + } + #endregion + + private void toggle() // toggle Accum & Carry + {CACC(); SASC(); HLT();} + + private void INC(int loc) // increment memory bit "macro" + {ADC(loc); ST(loc); CA();} + private void CNTR24() // 24 bit incrementing counter "macro" + {CASC(); for(int i=23; i>0; i--)INC(i); ADC(0); ST(0);} + + private void cntr24(){CNTR24(); HLT();} // 24 bit incrementing counter program + + private void HEllo_UJorld() // sliding 7-segment "hello world" with w via reversed & forward "j" + { CNTR24(); // lsb at location 23, msb at location 0 + // add segment position ("00"+14..15) to counter position (4..7) + const int LT0=56, LT1=57, LT2=58, LT3=59, CT14=14, CT15=15, CT7=5, CT6=4, CT5=3, CT4=2; + CACC(); LD(CT15); ADC(CT7); ST(LT0); + LD(CT14); ADC(CT6); ST(LT1); + CA(); ADC(CT5); ST(LT2); + CA(); ADC(CT4); ST(LT3); + // digit select decode, from 14..15, acitve low + const int DIG3 = 60, DIG2 = 61, DIG1 = 62, DIG0 = 63, BT0 = 15, BT1 = 14; + LD(BT1); OR(BT0); ST(DIG0); + LDC(BT1); OR(BT0); ST(DIG2); + XOR(BT1); ST(DIG3); + XOR(BT0); ST(DIG1); + // segment logic, segments: 0:top, 1:top right, 2:bottom right; 3:bottom, 4:bottom left, + // 5:top left, 6:middle, 7:decimal point + const int SEG0=55, SEG1=54, SEG2=53, SEG3=52, SEG4=51, SEG5=50, SEG6=49, DP=48; + const int A0=LT3, B0=LT2, C0=LT1, D0=LT0; + +// LD(LT0); OR(LT1); OR(LT2); OR(LT3); ST(DP); // rotating decimal point + SA(); ST(DP); // no decimal point + + // rotating HELLO UJOrLd + const int AB=47, AD=46, BD=45, NCD=44, BNCD=43, NANB=42, CND=41, t=40; + LD(A0); AND(B0); ST(AB); //ab + LD(A0); AND(D0); ST(AD); //ad + LD(B0); AND(D0); ST(BD); //bd + LDC(C0); AND(D0); ST(NCD); AND(B0); ST(BNCD); //ncd, bncd + LD(A0); OR(B0); NA(); ST(NANB); //nanb + LDC(D0); AND(C0); ST(CND); //cnd + + LDC(D0); AND(NANB); OR(C0); OR(BD); OR(AD); OR(AB); ST(SEG0); //nanbnd+c+bd+ad+ab + LD(NANB); AND(D0); OR(NCD); OR(CND); OR(AB); ST(SEG1); // ncd+cnd+ab+nanbd + LD(NANB); AND(C0); ST(t); LDC(B0); AND(CND); OR(t); OR(NCD); OR(AB); ST(SEG2); //ncd+ab+nanbc+nbcnd + LD(C0); OR(D0); NA(); AND(NANB); ST(t); LD(A0); AND(NCD); OR(t); OR(BNCD); OR(AB); ST(SEG3);// nanbncnd+bncd+ancd+ab + LD(BNCD); OR(AB); ST(SEG4); // bncd+ab + LD(BD); OR(AD); OR(AB); ST(SEG5); // bd+ad+ab + LDC(A0); AND(C0); ST(t); LDC(D0); AND(A0); OR(B0); OR(t); ST(SEG6); //nac+b+and + +// // rotating HEllo UJorld +// const int t1=47, t2=46, t3=45, t4=44, t5=43, t6=42; +// LDC(D0); OR(A0); OR(B0); OR(C0); ST(SEG0); + +// LD(A0); OR(B0); OR(C0); OR(D0); ST(t1); +// LD(B0); AND(C0); AND(D0); NA(); OR(A0); AND(t1); ST(t1); +// LD(A0); AND(C0); AND(D0); NA(); OR(B0); AND(t1); ST(SEG1); + +// LD(B0); AND(C0); NA(); OR(A0); ST(t2); +// LD(B0); OR(C0); OR(D0); AND(t2); ST(t2); +// LD(A0); AND(C0); AND(D0); NA(); OR(B0); AND(t2); ST(t2); +// LD(A0); OR(C0); OR(D0); AND(t2); ST(SEG2); + +// LDC(B0); OR(A0); OR(D0); ST(t3); +// LD(B0); AND(C0); NA(); OR(A0); AND(t3); ST(t3); +// LDC(A0); OR(B0); OR(C0); OR(D0); AND(t3); ST(t3); +// LD(A0); AND(C0); AND(D0); NA(); OR(B0); AND(t3); +// AND(SEG0); ST(SEG3); + +// LD(A0); OR(D0); ST(t4); +// LDC(C0); OR(A0); AND(t4); AND(B0); ST(SEG4); + +// LD(A0); OR(B0); ST(t5); +// LDC(C0); OR(A0); OR(D0); AND(t5); ST(t5); +// LDC(C0); OR(B0); OR(D0); AND(t5); ST(SEG5); + +// LD(B0); OR(C0); ST(t6); +// LD(A0); OR(C0); OR(D0); AND(t6); ST(t6); +// LD(A0); AND(D0); NA(); OR(B0); AND(t6); ST(SEG6); + +// // rotating HEllo UJorld + //const int AB=47, BD=46, BNC=45, BNCD=44, ANC=43, NANB=42, NANBC=41, NANBD=40, NANBND=39, + // CND=38, NBCND=37, NCD=36, NBND=35; +// LD(A0); AND(B0); ST(AB); LDC(D0); AND(C0); ST(CND); LD(A0); OR(B0); NA(); ST(NANB); AND(D0); ST(NANBD); +// LDC(C0); AND(B0); ST(BNC); LDC(C0); AND(A0); ST(ANC); OR(BNC); OR(NANBD); OR(CND); OR(AB); ST(SEG1); + +// LDC(C0); AND(D0); ST(NCD); LD(NANB); AND(C0); ST(NANBC); LD(B0); OR(D0); NA(); ST(NBND); AND(C0); +// OR(NANBC); OR(AB); OR(NCD); ST(SEG2); + +// LDC(C0); AND(B0); AND(D0); A2C(); LD(A0); AND(B0); OR2C(); C2A(); ST(SEG4); +// LDC(C0); AND(B0); AND(D0); ST(SEG4); LD(A0); AND(B0); OR(SEG4); ST(SEG4); + +// SA(); ST(SEG3); SA(); ST(SEG4); SA(); ST(SEG5); SA(); ST(SEG6); +// ST(SEG1); ST(SEG2); +// LD(A0); AND(B0); ST(AB); +// LD(B0); AND(D0); ST(BD); +// LDC(C0); AND(B0); ST(BNC); AND(D0); ST(BNCD); +// LDC(C0); AND(A0); ST(ANC); +// LD(A0); OR(B0); NA(); ST(NANB); AND(C0); ST(NANBC); +// LD(NANB); AND(D0); ST(NANBD); +// LDC(D0); AND(NANB); ST(NANBND); NA(); OR(C0); ST(SEG0); // seg A = a+b+c+nd +// LDC(D0); AND(C0); ST(CND); +// LDC(B0); AND(CND); ST(NBCND); +// LDC(A0); AND(C0); OR(BD); OR(CND); OR(AB); ST(SEG6); // seg G = nac+bd+cnd+ab +// LDC(C0); AND(D0); OR(AB); OR(NANBC); OR(NBCND); ST(SEG2); // seg C = ncd+ab+nabc+nbcd +// LD(A0); AND(D0); OR(BNC); OR(BD); OR(ANC); OR(AB); ST(SEG5); // seg F = bnc+bd+anc+ad+ab +// LDC(C0); AND(A0); AND(D0); +// OR(NANBND); OR(NANBC); OR(BNCD); OR(AB); OR(NBCND); ST(SEG3); // seg D = nanbnd+nanbc+bncd+ancd+ab+nbcnd +// LD(NANBD); OR(AB); OR(CND); OR(BNC); OR(ANC); ST(SEG1); // seg B = ab+cnd+nanbd+bnc+anc +// LD(NBCND); OR(AB); ST(SEG4); // seg E = bncd+ab + HLT(); + } + + private void listBox1_SelectedIndexChanged(object sender, System.EventArgs e) + { + } + + private void button1_Click(object sender, System.EventArgs e) + { // wirte assembled binary to Xilinx "RAMB16_S9 generic map(" initialization text + FileInfo cvf = new FileInfo(@"C:\br\digilent_projs\d3_lem1_9min_hw\"+textBox2.Text+".xmt"); + StreamWriter stmw = cvf.CreateText(); + int nwds = IP; + int nlines = (nwds+31)/32; + int nplines = (nwds+255)/256; + byte[] wds = new byte[nlines*32]; + byte[] pbits = new byte[nplines*256]; + for (int i=0; i> 8 & 1); + } + for (int i=0; i X\"",i); + for (int j=31; j>=0; j--) stmw.Write("{0:X2}",wds[i*32+j]); + stmw.WriteLine("\","); + } + for (int i=0; i X\"",i); + for (int j=63; j>=0; j--) stmw.Write("{0:X1}", + pbits[i*256+j*4+3]*8 + pbits[i*256+j*4+2]*4 + + pbits[i*256+j*4+1]*2 + pbits[i*256+j*4]); + stmw.WriteLine((i == (nplines-1)) ? "\")" : "\","); + } + stmw.Close(); + } + + private void button2_Click(object sender, System.EventArgs e) + { int prog = listBox1.SelectedIndex; + IP = 0; + switch (prog) + { case 0: toggle(); break; + case 1: cntr24(); break; + case 2: HEllo_UJorld(); break; + } + string[] xx = new String[IP+1]; + xx[0] = listBox1.SelectedItem + " listing"; + for (int i=0; i clk, + reset => reset, + start => start, + pc_reg => pc_reg, + mem_rd => inst, + nxdata => mem_bit, + data_we => we, + acc_cpy => acc, + cry_cpy => cry); + +count: process(clk) begin + if rising_edge(clk) then + cntr(31 downto 0) <= cntr(31 downto 0) + 1; + if inst(5 downto 0) = "000000" AND we = '1' then led(0) <= acc; end if; + if inst(5 downto 0) = "000001" AND we = '1' then led(1) <= acc; end if; + if inst(5 downto 0) = "000010" AND we = '1' then led(2) <= acc; end if; + if inst(5 downto 0) = "000011" AND we = '1' then led(3) <= acc; end if; + if inst(5 downto 0) = "000100" AND we = '1' then led(4) <= acc; end if; + if inst(5 downto 0) = "000101" AND we = '1' then led(5) <= acc; end if; + if inst(5 downto 0) = "000110" AND we = '1' then led(6) <= acc; end if; + if inst(5 downto 0) = "000111" AND we = '1' then led(7) <= acc; end if; + if inst(5 downto 0) = "110000" AND we = '1' then dig_led(0) <= acc; end if; -- dp + if inst(5 downto 0) = "110001" AND we = '1' then dig_led(1) <= acc; end if; + if inst(5 downto 0) = "110010" AND we = '1' then dig_led(2) <= acc; end if; + if inst(5 downto 0) = "110011" AND we = '1' then dig_led(3) <= acc; end if; + if inst(5 downto 0) = "110100" AND we = '1' then dig_led(4) <= acc; end if; + if inst(5 downto 0) = "110101" AND we = '1' then dig_led(5) <= acc; end if; + if inst(5 downto 0) = "110110" AND we = '1' then dig_led(6) <= acc; end if; + if inst(5 downto 0) = "110111" AND we = '1' then dig_led(7) <= acc; end if; -- top + if inst(5 downto 0) = "111100" AND we = '1' then seg(0) <= acc; end if; -- LSB + if inst(5 downto 0) = "111101" AND we = '1' then seg(1) <= acc; end if; + if inst(5 downto 0) = "111110" AND we = '1' then seg(2) <= acc; end if; + if inst(5 downto 0) = "111111" AND we = '1' then seg(3) <= acc; end if; -- MSB + end if; +end process; + +end arch; \ No newline at end of file Index: lem1_9min_asm.csproj =================================================================== --- lem1_9min_asm.csproj (nonexistent) +++ lem1_9min_asm.csproj (revision 6) @@ -0,0 +1,103 @@ + + + + + + + + + + + + + + + + + + + + + + + + Index: d3_lem1_9min_hw.ucf =================================================================== --- d3_lem1_9min_hw.ucf (nonexistent) +++ d3_lem1_9min_hw.ucf (revision 6) @@ -0,0 +1,34 @@ +NET "clk" TNM_NET = "clk"; +TIMESPEC "TS_clk" = PERIOD "clk" 20 ns HIGH 50 %; +#PACE: Start of Constraints generated by PACE + +#PACE: Start of PACE I/O Pin Assignments +NET "clk" LOC = "T9" ; +NET "dig_led<0>" LOC = "P16" ; +NET "dig_led<1>" LOC = "N16" ; +NET "dig_led<2>" LOC = "F13" ; +NET "dig_led<3>" LOC = "R16" ; +NET "dig_led<4>" LOC = "P15" ; +NET "dig_led<5>" LOC = "N15" ; +NET "dig_led<6>" LOC = "G13" ; +NET "dig_led<7>" LOC = "E14" ; +NET "led<0>" LOC = "K12" ; +NET "led<1>" LOC = "P14" ; +NET "led<2>" LOC = "L12" ; +NET "led<3>" LOC = "N14" ; +NET "led<4>" LOC = "P13" ; +NET "led<5>" LOC = "N12" ; +NET "led<6>" LOC = "P12" ; +NET "led<7>" LOC = "P11" ; +NET "reset" LOC = "L14" ; +NET "seg<0>" LOC = "D14" ; +NET "seg<1>" LOC = "G14" ; +NET "seg<2>" LOC = "F14" ; +NET "seg<3>" LOC = "E13" ; +NET "start" LOC = "M13" ; + +#PACE: Start of PACE Area Constraints + +#PACE: Start of PACE Prohibit Constraints + +#PACE: End of Constraints generated by PACE Index: lem1_9min.vhd =================================================================== --- lem1_9min.vhd (nonexistent) +++ lem1_9min.vhd (revision 6) @@ -0,0 +1,358 @@ +-- lem1_9min.vhd 9-bit instruction block memory, 64x1 distributed data memory +-- targets Spartan-2/3 on Digilent board +-- uses distributed RAM for data RAM, block RAM for instruction ROM & LUT tables +-- single clock cycle instruction execution, 9-bit fixed instruction format +-- Processing cycle: sync instruction read, async data RAM read, ALU, sync data RAM write 15-20ns +-- one clock per instruction + +------ 64x1 single port RAM with async read (distributed RAM) +library ieee; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.std_logic_unsigned.all; +entity async_ram64x1 is port( + clk: in std_logic; +-- en: in std_logic; + we: in std_logic; + a: in std_logic_vector(5 downto 0); + di: in std_logic; + do: out std_logic); +end async_ram64x1; +architecture arch3 of async_ram64x1 is + type ram_type is array(63 downto 0) of std_logic; + signal RAM: ram_type; +begin + process(clk) + begin + if clk'event and clk='1' then +-- if en = '1' then + if we='1' then RAM(conv_integer(a))<=di; end if; +-- end if; + end if; + end process; + do <= RAM(conv_integer(a)); +end arch3; + + +------ 2048x9 single port RAM with sync read (block RAM) +library ieee; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.std_logic_unsigned.all; +entity sync_ram2048x9 is port( + clk: in std_logic; +-- en: in std_logic; + we: in std_logic; + a: in std_logic_vector(10 downto 0); + di: in std_logic_vector(8 downto 0); + do: out std_logic_vector(8 downto 0)); +end sync_ram2048x9; +architecture arch4 of sync_ram2048x9 is + type ram_type is array(2047 downto 0) of std_logic_vector(8 downto 0); + signal RAM: ram_type; + signal read_a: std_logic_vector(10 downto 0); +begin + process(clk) + begin + if clk'event and clk='1' then +-- if en = '1' then + if we='1' then RAM(conv_integer(a))<=di; end if; +-- end if; + read_a <= a; + end if; + end process; + do <= RAM(conv_integer(read_a)); +end arch4; + + +------ processor definition +library ieee; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.std_logic_arith.all; +use IEEE.std_logic_misc.all; +use IEEE.std_logic_signed.all; +use work.definitions.all; + +entity lem1_9 is port( + clk: in std_logic; + reset: in std_logic; + start: in std_logic; + pc_reg: out std_logic_vector(10 downto 0); + mem_rd: out std_logic_vector(8 downto 0); + nxdata: out std_logic; + data_we: out std_logic; + acc_cpy: out std_logic; + cry_cpy: out std_logic + ); +end entity lem1_9; + +architecture arch of lem1_9 is +-- signal naming: nx prefix: new value, x prefix: new value enable +type dly_type is (run, hlt); -- states: run, halt +signal dly, nxdly: dly_type; -- processing state variable & next dly + +-- instruction register & renamings +signal ir: std_logic_vector(8 downto 0); -- instruction register +signal inst: std_logic_vector(2 downto 0); -- ir(8..6), op-code field +signal pc, nxpc: std_logic_vector(10 downto 0); -- program counter & next pc +signal xpc: std_logic; -- pc update enable +signal acc, nxacc: std_logic; -- accumulator & next acc +signal xacc: std_logic; -- acc update enable +signal cry, nxcry: std_logic; -- carry & next carry +signal xcry: std_logic; -- carry update enable +signal nxadr: std_logic_vector(5 downto 0); -- ir(5..0), data read/write address +signal nxmem: std_logic; -- write data +signal memrd: std_logic; -- read data +signal nxwe: std_logic; -- data write enable + +-- Block RAM with parity bits +component RAMB16_S9 is + generic ( + WRITE_MODE : string := "WRITE_FIRST"; + INIT : bit_vector := X"000"; + SRVAL : bit_vector := X"000"; + -- use hexidecimal encoding + -- little endian: right most bit of INIT_00 is bit 0 of location 0 + INIT_00 : bit_vector(255 downto 0) := X"000000000000000000000000000000000000000000000000000000000000000F"; + INIT_01 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000"; + INIT_02 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000"; + INIT_03 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000"; + INIT_04 : bit_vector(255 downto 0) := X"000000000000000000000000000000000000000000000000000000000000000F"; + INIT_05 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000"; + INIT_06 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000"; + INIT_07 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000"; + -- little endian: right most bit of INITP_00 is bit9 of location 0 + INITP_00 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000001"; + INITP_01 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000"; + INITP_02 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000"; + INITP_03 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000" + ); + port (DI : in STD_LOGIC_VECTOR (7 downto 0); + DIP : in STD_LOGIC_VECTOR (0 downto 0); + EN : in STD_logic; + WE : in STD_logic; + SSR : in STD_logic; + CLK : in STD_logic; + ADDR : in STD_LOGIC_VECTOR (10 downto 0); + DO : out STD_LOGIC_VECTOR (7 downto 0); + DOP : out STD_LOGIC_VECTOR (0 downto 0)); +end component; + +begin +-- renamings +inst <= ir(8 downto 6); +nxadr <= ir(5 downto 0); + +-- monitoring signals +--pc_reg <= pc; +--acc_cpy <= acc; +--cry_cpy <= cry; +--nxdata <= nxmem; +--data_we <= nxwe; +--mem_rd <= inst & nxadr; + +-- port maps +data_bit: entity work.async_ram64x1 port map( + clk => clk, +-- en => sig1, + we => nxwe, + a => nxadr(5 downto 0), + di => nxmem, + do => memrd); + +memory: RAMB16_S9 generic map( +-- toggle ACC & CRY (clear ACC & CRY; set ACC & CRY; HALT) +-- INIT_00 => X"00000000000000000000000000000000000000000000000000000000007F1A1F", +-- INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000") +-- increment 24-bit counter at memory locations 23..0 +-- INIT_00 => X"CD164ECE164FCF1650D01651D11652D21653D31654D41655D51656D61657D711", +-- INIT_01 => X"1643C31644C41645C51646C61647C71648C81649C9164ACA164BCB164CCC164D", +-- INIT_02 => X"00000000000000000000000000000000000000000000000040C01641C11642C2", +-- INITP_00 => X"0000000000000000000000000000000000000000000000492492492492492492") +-- four-bit adder at memory locations 59..56 (mem loc 7..4 + "00" & loc 15..14) +-- mem loc 63..60: active low decode of loc 15..14 +-- mem loc 55..48: active low drive of 7-seg display +-- HELLO UJOrLd + INIT_00 => X"CD164ECE164FCF1650D01651D11652D21653D31654D41655D51656D61657D711", + INIT_01 => X"1643C31644C41645C51646C61647C71648C81649C9164ACA164BCB164CCC164D", + INIT_02 => X"177E8F7C8E7D4FCE7F4F8E7BC2167AC31679C48E78C58F1040C01641C11642C2", + INIT_03 => X"6C38AA776F6E6D792AF86939F86A197ABB6B3A6C38F96D38BA6E38BB6F3ABB70", + INIT_04 => X"39FB726F6EAD736FAB746F6B682CBB682A1978B9756F6C6829FA6839AA766F69", + INIT_05 => X"000000000000000000000000000000000000000000000000000071687A3BF868", + INITP_00 => X"00000000000000000000001C993CA793CF9129242A4924492492492492492492") + +-- HEllo UJorld +-- INIT_00 => X"CD164ECE164FCF1650D01651D11652D21653D31654D41655D51656D61657D711", +-- INIT_01 => X"1643C31644C41645C51646C61647C71648C81649C9164ACA164BCB164CCC164D", +-- INIT_02 => X"177E8F7C8E7D4FCE7F4F8E7BC2167AC31679C48E78C58F1040C01641C11642C2", +-- INIT_03 => X"79BA6E7B1939BA762F7A193839BB6F2F7B193839BA6F78797ABB77797A7BF870", +-- INIT_04 => X"BB6D2D78797AFB6D2D7B1939BA6D787BFA752E7879BB6E2E7A193839BB6E2E78", +-- INIT_05 => X"BB6A79BA722B787AF96B2B787BF96B7ABB733A2C7BF96C78BB74372D7A193839", +-- INIT_06 => X"00000000000000000000000000000000000000000000712A7A1938BB6A2A7879", +-- INITP_00 => X"00000000000001A72739393B3CD339B394D9B39C2A4924492492492492492492") +-- + port map( + DI => (others => '0'), + DIP => (others => '0'), + EN => '1', + WE => '0', + SSR => '0', + CLK => clk, + ADDR => nxpc(10 downto 0), + DO => ir(7 downto 0), + DOP => ir(8 downto 8)); + +--memory: entity work.sync_ram2048x9 port map( +-- clk => clk, +---- en => vcc, +-- we => gnd, +-- a => nxpc(10 downto 0), +-- di => (others => '0'), +-- do => ir); + +-- instruction processing +decode: process(dly,start,memrd,acc,cry,inst,pc,ir) begin +-- default values for update enables & "nx" signals +nxdly <= hlt; +xpc <= '-'; +nxpc <= (others => '-'); +nxwe <= '-'; +nxmem <= '-'; +xacc <= '-'; +nxacc <= '-'; +xcry <= '-'; +nxcry <= '-'; + +-- state dispatch + case dly is + when hlt => + if start = '1' then nxdly <= run; else nxdly <= hlt; end if; + xacc <= '1'; nxacc <= '0'; + xpc <= '1'; nxpc <= (others => '0'); -- keep PC reset + xcry <= '1'; nxcry <= '0'; + nxwe <= '0'; + + when run => +-- op-code dispatch +case inst is + +when opMSC => + case ir(5 downto 4) is + when opHLT => + nxdly <= hlt; + + when opAnC => + nxdly <= run; + case ir(3 downto 0) is + when "0000" => xacc <= '1'; nxacc <= '0'; xcry <= '1'; nxcry <= '0'; -- A,C = 0,0 + when "0001" => xacc <= '1'; nxacc <= '0'; xcry <= '1'; nxcry <= '1'; -- A,C = 0,1 + when "0010" => xacc <= '1'; nxacc <= '1'; xcry <= '1'; nxcry <= '0'; -- A,C = 1,0 + when "0011" => xacc <= '1'; nxacc <= '1'; xcry <= '1'; nxcry <= '1'; -- A,C = 1,1 + when "0100" => xacc <= '0'; xcry <= '1'; nxcry <= '0'; -- C = 0 + when "0101" => xacc <= '0'; xcry <= '1'; nxcry <= '1'; -- C = 1 + when "0110" => xacc <= '1'; nxacc <= '0'; xcry <= '0'; -- A = 0 + when "0111" => xacc <= '1'; nxacc <= '1'; xcry <= '0'; -- A = 1 + when "1000" => xacc <= '0'; xcry <= '1'; nxcry <= acc OR cry; -- C = A | C + when "1001" => xacc <= '1'; nxacc <= not acc; xcry <= '0'; -- A = not A + when "1010" => xacc <= '0'; xcry <= '1'; nxcry <= not cry; -- C = not C + when "1011" => xacc <= '1'; nxacc <= not acc; xcry <= '1'; nxcry <= not cry; -- A,C = not A, not C + when "1100" => xacc <= '0'; xcry <= '1'; nxcry <= acc AND cry; -- C = A & C + when "1101" => xacc <= '1'; nxacc <= cry; xcry <= '0'; -- A = C + when "1110" => xacc <= '0'; xcry <= '1'; nxcry <= acc; -- C = A + when "1111" => xacc <= '1'; nxacc <= cry; xcry <= '1'; nxcry <= acc; -- A,C = C,A + when others => xacc <= '0'; xcry <= '0'; + end case; + xpc <= '1'; nxpc <= pc + 1; + nxwe <= '0'; + when others => null; + end case; + +when opST => + nxdly<= run; + xacc <= '0'; + xpc <= '1'; nxpc <= pc + 1; + xcry <= '0'; + nxwe <= '1'; nxmem <= acc; + +when opLD => + nxdly<= run; + xacc <= '1'; nxacc <= memrd; + xpc <= '1'; nxpc <= pc + 1; + xcry <= '0'; + nxwe <= '0'; + +when opLDC => + nxdly<= run; + xacc <= '1'; nxacc <= not memrd; + xpc <= '1'; nxpc <= pc + 1; + xcry <= '0'; + nxwe <= '0'; + +when opAND => + nxdly<= run; + xacc <= '1'; nxacc <= acc and memrd; + xpc <= '1'; nxpc <= pc + 1; + xcry <= '0'; + nxwe <= '0'; + +when opOR => + nxdly<= run; + xacc <= '1'; nxacc <= acc or memrd; + xpc <= '1'; nxpc <= pc + 1; + xcry <= '0'; + nxwe <= '0'; + +when opXOR => + nxdly<= run; + xacc <= '1'; nxacc <= acc xor memrd; + xpc <= '1'; nxpc <= pc + 1; + xcry <= '0'; + nxwe <= '0'; + +when opADC => + nxdly<= run; + xacc <= '1'; nxacc <= acc xor memrd xor cry; + xpc <= '1'; nxpc <= pc + 1; + xcry <= '1'; nxcry <= (acc and cry) or (acc and memrd) or (cry and memrd); + nxwe <= '0'; + +when others => null; +end case; + when others => null; + end case; + +end process decode; + +-- all processor register updates +update: process(clk,reset) begin +if reset='1' -- master reset +then dly <= hlt; + acc <= '0'; + cry <= '0'; + pc <= (others => '0'); +-- monitoring signals + acc_cpy <= '0'; + cry_cpy <= '0'; + nxdata <= '0'; + data_we <= '0'; + pc_reg <= (others => '0'); + mem_rd <= (others => '0'); +elsif (clk'event and clk='1') +then +-- state variable update + dly <= nxdly; +-- accumulator update + if xacc = '1' then acc <= nxacc; end if; +-- update carry bit + if xcry = '1' then cry <= nxcry; end if; +-- Program counter update + if xpc = '1' then pc <= nxpc; end if; +---- monitoring signals + acc_cpy <= acc; + cry_cpy <= cry; + nxdata <= nxmem; + data_we <= nxwe; + pc_reg <= pc; + mem_rd <= inst & nxadr; +end if; +end process update; + +end arch; \ No newline at end of file Index: lem1_9min_defs.vhd =================================================================== --- lem1_9min_defs.vhd (nonexistent) +++ lem1_9min_defs.vhd (revision 6) @@ -0,0 +1,24 @@ +-- lem1_9min_defs.vhd +-- type declarations & constants + +library IEEE; +use IEEE.std_logic_1164.all; + +package definitions is + +-- machine instructions memonics sorted by code & sub-code +-- ir(8..6) op-codes +constant opMSC: std_logic_vector(2 downto 0) :="000"; +-- ir(5..4) sub op-codes +constant opHLT: std_logic_vector(1 downto 0) :="00"; +constant opAnC: std_logic_vector(1 downto 0) :="01"; +-- ir(8..6) op-codes cont'd +constant opST: std_logic_vector(2 downto 0) :="001"; +constant opLD: std_logic_vector(2 downto 0) :="010"; +constant opLDC: std_logic_vector(2 downto 0) :="011"; +constant opAND: std_logic_vector(2 downto 0) :="100"; +constant opOR: std_logic_vector(2 downto 0) :="101"; +constant opXOR: std_logic_vector(2 downto 0) :="110"; +constant opADC: std_logic_vector(2 downto 0) :="111"; + +end definitions; \ No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.