OpenCores
URL https://opencores.org/ocsvn/leros/leros/trunk

Subversion Repositories leros

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /leros/trunk
    from Rev 7 to Rev 8
    Reverse comparison

Rev 7 → Rev 8

/java/tools/src/leros/sim/LerosIO.java
32,8 → 32,9
package leros.sim;
 
/**
* Simulation of IO devices connected to Leros. IO mapping is at the moment not
* the same as in VHDL.
* Simulation of IO devices connected to Leros.
* IO mapping needs a definition of a minimum default.
* LEDs, buttons, and a UART
*
* @author martin
*
40,9 → 41,9
*/
public class LerosIO implements ILerosIO{
 
final static int UART_STATUS = 0;
final static int UART_IO = 1;
final static int LED = 2;
final static int UART_STATUS = 2;
final static int UART_IO = 3;
final static int LED = 0;
int[] command = new int[]{ 10,10,30,20,1,1};
int commandIndex = 0;
52,7 → 53,7
case UART_IO:
System.out.println( data);
System.out.println((char) data);
break;
/java/target/src/com/muvium/leros/Native.java
43,6 → 43,8
public final static int LED_PORT = 0;
public final static int BUTTON_PORT = 0;
public final static int UART_STATUS = 2;
public final static int UART_DATA = 3;
/**
* Read from an IO device.
* Only 8 bit address and 16 bit data are used.
/java/examples/Hello.java
0,0 → 1,58
/*
Copyright 2011 Martin Schoeberl <masca@imm.dtu.dk>,
Technical University of Denmark, DTU Informatics.
All rights reserved.
 
Redistribution and use in source and binary forms, with or without
modification, are permitted provided that the following conditions are met:
 
1. Redistributions of source code must retain the above copyright notice,
this list of conditions and the following disclaimer.
 
2. Redistributions in binary form must reproduce the above copyright
notice, this list of conditions and the following disclaimer in the
documentation and/or other materials provided with the distribution.
 
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDER ``AS IS'' AND ANY EXPRESS
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES
OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN
NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY
DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
(INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
(INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
 
The views and conclusions contained in the software and documentation are
those of the authors and should not be interpreted as representing official
policies, either expressed or implied, of the copyright holder.
*/
 
import com.muvium.leros.Native;
import com.muvium.MuviumRunnable;
 
/*
* Example program for Leros.
* Just write some characters to a possible connected UART.
*
*/
 
public class Hello extends MuviumRunnable {
 
public void run() {
 
for (;;) {
Native.wr('H', Native.UART_DATA);
for (int i=0; i<32000; ++i)
for (int j=0; j<200; ++j)
;
Native.wr('W', Native.UART_DATA);
for (int i=0; i<32000; ++i)
for (int j=0; j<200; ++j)
;
 
}
}
 
}
java/examples/Hello.java Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: Makefile =================================================================== --- Makefile (revision 7) +++ Makefile (revision 8) @@ -44,7 +44,12 @@ # Some shortcuts MUVIUM=LerosMuviumSDK MUVIUM_CP=./$(S)./lib/Muvium-Leros.jar$(S)./MUVIUM_CP=./$(S)./lib/jdom.jar$(S)./lib/jaxen.jar$(S). +# We need paths to the JDK and the main application +# Java packages are at the moment ignored, maybe do it JOP like +# Redefine TARGET_SRC to put your sources somewhere else +JDK_SRC=java/target/src TARGET_SRC=java/target/src +SOURCE_PATH=$(JDK_SRC)$(S)$(TARGET_SRC) all: directories tools rom make lerosusb @@ -76,7 +81,7 @@ -rm -rf java/target/classes mkdir java/target/classes $(JAVAC_TARG) -target 1.5 -g -d java/target/classes \ - -sourcepath $(TARGET_SRC) $(TARGET_SRC)/$(JAPP).java + -sourcepath $(SOURCE_PATH) $(TARGET_SRC)/$(JAPP).java cd $(MUVIUM); java -cp $(MUVIUM_CP)$(S)../java/target/classes \ MuviumMetal $(JAPP) config.xml ../asm/muvium.asm @@ -93,7 +98,7 @@ leros.asm.LerosAsm -s asm -d vhdl/generated $(APP).asm jsim: rom - java -cp java/lib/leros-tools.jar -Dlog=false \ + java -cp java/tools/lib/leros-tools.jar -Dlog=false \ leros.sim.LerosSim rom.txt sim: rom cd modelsim; make
/vhdl/top/leros_de2-70.vhd
109,24 → 109,24
 
-- ioin.rddata(15 downto 4) <= (others => '0');
ua: entity work.uart generic map (
clk_freq => 100000000,
baud_rate => 115200,
txf_depth => 1,
rxf_depth => 1
)
port map(
clk => clk_int,
reset => int_res,
ua: entity work.uart generic map (
clk_freq => 100000000,
baud_rate => 115200,
txf_depth => 1,
rxf_depth => 1
)
port map(
clk => clk_int,
reset => int_res,
 
address => ioout.addr(0),
wr_data => ioout.wrdata,
rd => ioout.rd,
wr => ioout.wr,
rd_data => ioin.rddata,
address => ioout.addr(0),
wr_data => ioout.wrdata,
rd => ioout.rd,
wr => ioout.wr,
rd_data => ioin.rddata,
 
txd => ser_txd,
rxd => ser_rxd
txd => ser_txd,
rxd => ser_rxd
);
process(clk_int)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.