OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /lpffir
    from Rev 7 to Rev 8
    Reverse comparison

Rev 7 → Rev 8

/trunk/bench/verilog/bench.sv
68,11 → 68,11
.rx_tlast_i(0),
.rx_tvalid_i(1),
.rx_tready_o(rx_tready),
.rx_tdate_i(in),
.rx_tdata_i(in),
.tx_tlast_o(tx_tlast),
.tx_tvalid_o(tx_tvalid),
.tx_tready_i(1),
.tx_tdate_o(out)
.tx_tdata_o(out)
);
 
// Test case log
/trunk/doc/src/LPFFIR_Specifications.docx Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/trunk/doc/src/axis_timing.tim
119,7 → 119,7
Digital_Signal_End
Digital_Bus
Position: 5
Name: rx_tdate_i[7:0]
Name: rx_tdata_i[15:0]
Start_State: X
State_Format: Text
Rise_Time: 5.0
238,7 → 238,7
Digital_Signal_End
Digital_Bus
Position: 9
Name: tx_tdate_o[7:0]
Name: tx_tdata_o[15:0]
Start_State: X
State_Format: Text
Rise_Time: 5.0
/trunk/doc/LPFFIR_Specifications.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/trunk/rtl/lpffir_axis.sv
50,12 → 50,12
input rx_tlast_i,
input rx_tvalid_i,
output logic rx_tready_o,
input [15:0] rx_tdate_i,
input [15:0] rx_tdata_i,
// AXI-Stream TX interface
output logic tx_tlast_o,
output reg tx_tvalid_o,
input tx_tready_i,
output logic [15:0] tx_tdate_o
output logic [15:0] tx_tdata_o
);
 
logic lpffir_en = rx_tvalid_i && tx_tready_i;
70,8 → 70,8
.clk_i(aclk_i),
.rstn_i(aresetn_i),
.en_i(lpffir_en),
.x_i(rx_tdate_i),
.y_o(tx_tdate_o)
.x_i(rx_tdata_i),
.y_o(tx_tdata_o)
);
 
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.