URL
https://opencores.org/ocsvn/lzrw1-compressor-core/lzrw1-compressor-core/trunk
Subversion Repositories lzrw1-compressor-core
Compare Revisions
- This comparison shows the changes necessary to convert path
/lzrw1-compressor-core/trunk/hw
- from Rev 2 to Rev 3
- ↔ Reverse comparison
Rev 2 → Rev 3
/xst_14_2/LZRWcompressor.xise
320,8 → 320,8
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/> |
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/> |
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/> |
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/HashTable_tb" xil_pn:valueState="non-default"/> |
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.HashTable_tb" xil_pn:valueState="non-default"/> |
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/LZRWcompressor_tb" xil_pn:valueState="non-default"/> |
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.LZRWcompressor_tb" xil_pn:valueState="non-default"/> |
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/> |
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/> |
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/> |
339,7 → 339,7
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/> |
<property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/> |
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/> |
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.HashTable_tb" xil_pn:valueState="default"/> |
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.LZRWcompressor_tb" xil_pn:valueState="default"/> |
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/> |
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/> |
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/> |
/xst_14_2/par_usage_statistics.html
1,32 → 1,32
<TABLE BORDER CELLSPACING=0 WIDTH='100%'> |
<xtag-section name="ParStatistics"> |
<TR ALIGN=CENTER BGCOLOR='#99CCFF'><TD COLSPAN=1><B>Par Statistics</B></TD></TR> |
<TR><TD><xtag-par-property-name>Total Non-vccgnd Signals</xtag-par-property-name>=<xtag-par-property-value>2296</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Total Non-vccgnd Design Pins</xtag-par-property-name>=<xtag-par-property-value>7240</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>7240</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>6292</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>8.4 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>9.5 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>16.4 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 4 CPU</xtag-par-property-name>=<xtag-par-property-value>18.1 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>24.5 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>24.5 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>24.5 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>24.5 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>24.6 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>25.4 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Total Non-vccgnd Signals</xtag-par-property-name>=<xtag-par-property-value>2280</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Total Non-vccgnd Design Pins</xtag-par-property-name>=<xtag-par-property-value>7229</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Total Non-vccgnd Conns</xtag-par-property-name>=<xtag-par-property-value>7229</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Total Non-vccgnd Timing Constrained Conns</xtag-par-property-name>=<xtag-par-property-value>6345</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 1 CPU</xtag-par-property-name>=<xtag-par-property-value>8.0 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 2 CPU</xtag-par-property-name>=<xtag-par-property-value>9.1 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 3 CPU</xtag-par-property-name>=<xtag-par-property-value>16.0 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 4 CPU</xtag-par-property-name>=<xtag-par-property-value>17.7 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 5 CPU</xtag-par-property-name>=<xtag-par-property-value>25.1 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 6 CPU</xtag-par-property-name>=<xtag-par-property-value>25.1 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 7 CPU</xtag-par-property-name>=<xtag-par-property-value>25.1 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 8 CPU</xtag-par-property-name>=<xtag-par-property-value>25.1 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 9 CPU</xtag-par-property-name>=<xtag-par-property-value>25.2 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>Phase 10 CPU</xtag-par-property-name>=<xtag-par-property-value>25.9 sec</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 1</xtag-par-property-name>=<xtag-par-property-value>4.0</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 2</xtag-par-property-name>=<xtag-par-property-value>3.5</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>5.7</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 3</xtag-par-property-name>=<xtag-par-property-value>6.0</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 4</xtag-par-property-name>=<xtag-par-property-value>4.8</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>5.1</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>3.9</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100</xtag-par-property-name>=<xtag-par-property-value>21.2</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 500</xtag-par-property-name>=<xtag-par-property-value>8.4</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 10</xtag-par-property-name>=<xtag-par-property-value>4.9</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50</xtag-par-property-name>=<xtag-par-property-value>4.0</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100</xtag-par-property-name>=<xtag-par-property-value>21.0</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 500</xtag-par-property-name>=<xtag-par-property-value>9.1</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 5000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 20000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 50000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>AvgWirelenPerPin Fanout 100000</xtag-par-property-name>=<xtag-par-property-value>0.0</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.5830</xtag-par-property-value></TD></TR> |
<TR><TD><xtag-par-property-name>IRR Gamma</xtag-par-property-name>=<xtag-par-property-value>1.7652</xtag-par-property-value></TD></TR> |
</xtag-section> |
</TABLE> |
/xst_14_2/fuseRelaunch.cmd
1,32 → 1,32
-intstyle "ise" -incremental -lib "secureip" -o "/home/lukas/e-/logic-analyzer/LZRW-compressor/hw/xst_14_2/LZRWcompressor_tb_isim_beh.exe" -prj "/home/lukas/e-/logic-analyzer/LZRW-compressor/hw/xst_14_2/LZRWcompressor_tb_beh.prj" "work.LZRWcompressor_tb" |
-intstyle "ise" -incremental -lib "secureip" -o "/home/lukas/e-/logic-analyzer/LZRW-compressor-OC/lzrw1-compressor-core/lzrw1-compressor-core/trunk/hw/xst_14_2/LZRWcompressor_tb_isim_beh.exe" -prj "/home/lukas/e-/logic-analyzer/LZRW-compressor-OC/lzrw1-compressor-core/lzrw1-compressor-core/trunk/hw/xst_14_2/LZRWcompressor_tb_beh.prj" "work.LZRWcompressor_tb" |
/xst_14_2/LZRWcompressor.ucf
1,4 → 1,4
|
# PlanAhead Generated timing constraints |
|
NET "ClkxCI" PERIOD = 20 ns; |
NET "ClkxCI" PERIOD = 15 ns; |
/xst_14_2/_xmsgs/xdl.xmsgs
5,7 → 5,7
behavior or data corruption. It is strongly advised that |
users do not edit the contents of this file. --> |
<messages> |
<msg type="warning" file="XDL" num="213" delta="new" >The resulting xdl output will not have LUT equation strings or RAM INIT strings. |
<msg type="warning" file="XDL" num="213" delta="old" >The resulting xdl output will not have LUT equation strings or RAM INIT strings. |
</msg> |
|
</messages> |
/xst_14_2/LZRWcompressor.wcfg
1,647 → 1,643
<?xml version="1.0" encoding="UTF-8"?> |
<wave_config> |
<wave_state> |
</wave_state> |
<db_ref_list> |
<db_ref path="G:/e-/logic-analyzer/LZRW-compressor/hw/xst_14_2/LZRWcompressor_tb_isim_beh.wdb" id="1" type="auto"> |
<top_modules> |
<top_module name="lzrwcompressor_tb" /> |
<top_module name="numeric_std" /> |
<top_module name="std_logic_1164" /> |
<top_module name="std_logic_arith" /> |
<top_module name="std_logic_signed" /> |
<top_module name="std_logic_textio" /> |
<top_module name="textio" /> |
<top_module name="vcomponents" /> |
<top_module name="vital_primitives" /> |
<top_module name="vital_timing" /> |
<top_module name="vpkg" /> |
</top_modules> |
</db_ref> |
</db_ref_list> |
<WVObjectSize size="130" /> |
<wave_markers> |
<marker time="1650000000" label="" /> |
</wave_markers> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/clkxci" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">clkxci</obj_property> |
<obj_property name="ObjectShortName">clkxci</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/rstxri" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">rstxri</obj_property> |
<obj_property name="ObjectShortName">rstxri</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/datainxdi" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">datainxdi[7:0]</obj_property> |
<obj_property name="ObjectShortName">datainxdi[7:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/strobexsi" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">strobexsi</obj_property> |
<obj_property name="ObjectShortName">strobexsi</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/flushbufxsi" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">flushbufxsi</obj_property> |
<obj_property name="ObjectShortName">flushbufxsi</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/busyxso" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">busyxso</obj_property> |
<obj_property name="ObjectShortName">busyxso</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/donexso" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">donexso</obj_property> |
<obj_property name="ObjectShortName">donexso</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/bufoutxdo" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">bufoutxdo[7:0]</obj_property> |
<obj_property name="ObjectShortName">bufoutxdo[7:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/outputvalidxso" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">outputvalidxso</obj_property> |
<obj_property name="ObjectShortName">outputvalidxso</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/rdstrobexsi" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">rdstrobexsi</obj_property> |
<obj_property name="ObjectShortName">rdstrobexsi</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/lengthxdo" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lengthxdo</obj_property> |
<obj_property name="ObjectShortName">lengthxdo</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/tbdone" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">tbdone</obj_property> |
<obj_property name="ObjectShortName">tbdone</obj_property> |
</wvobject> |
<wvobject fp_name="divider90" type="divider"> |
<obj_property name="label">INTERNALs</obj_property> |
<obj_property name="DisplayName">label</obj_property> |
<obj_property name="BkColor">128 128 255</obj_property> |
<obj_property name="TextColor">230 230 230</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/shiftlookaheadxsn" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">shiftlookaheadxsn</obj_property> |
<obj_property name="ObjectShortName">shiftlookaheadxsn</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/shiftlookaheadxsp" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">shiftlookaheadxsp</obj_property> |
<obj_property name="ObjectShortName">shiftlookaheadxsp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadbufxdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadbufxdn[15:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadbufxdn[15:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadbufxdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadbufxdp[15:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadbufxdp[15:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadlenxdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadlenxdn</obj_property> |
<obj_property name="ObjectShortName">lookaheadlenxdn</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadlenxdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadlenxdp</obj_property> |
<obj_property name="ObjectShortName">lookaheadlenxdp</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/endofdata0xsn" type="logic" db_ref_id="1"> |
<obj_property name="DisplayName">label</obj_property> |
<obj_property name="ElementShortName">endofdata0xsn</obj_property> |
<obj_property name="ObjectShortName">endofdata0xsn</obj_property> |
<obj_property name="label">endofdata0xsn</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/strobe0xsn" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">strobe0xsn</obj_property> |
<obj_property name="ObjectShortName">strobe0xsn</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/wrhistbufxs" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">wrhistbufxs</obj_property> |
<obj_property name="ObjectShortName">wrhistbufxs</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/done0xsn" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">done0xsn</obj_property> |
<obj_property name="ObjectShortName">done0xsn</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/done0xsp" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">done0xsp</obj_property> |
<obj_property name="ObjectShortName">done0xsp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/datain0xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">datain0xdn[7:0]</obj_property> |
<obj_property name="ObjectShortName">datain0xdn[7:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/hashtableentryxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">hashtableentryxd[11:0]</obj_property> |
<obj_property name="ObjectShortName">hashtableentryxd[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/histbufoutxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">histbufoutxd[127:0]</obj_property> |
<obj_property name="ObjectShortName">histbufoutxd[127:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/nextwradrxd" type="array" db_ref_id="1"> |
<obj_property name="DisplayName">label</obj_property> |
<obj_property name="ElementShortName">nextwradrxd[11:0]</obj_property> |
<obj_property name="ObjectShortName">nextwradrxd[11:0]</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
<obj_property name="label">nextwradrxd[11:0]</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadptr0xd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadptr0xd[11:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadptr0xd[11:0]</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/histbuflen0xdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">histbuflen0xdn</obj_property> |
<obj_property name="ObjectShortName">histbuflen0xdn</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/statexsn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">statexsn</obj_property> |
<obj_property name="ObjectShortName">statexsn</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/statexsp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">statexsp</obj_property> |
<obj_property name="ObjectShortName">statexsp</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="divider165" type="divider"> |
<obj_property name="label">stage 1</obj_property> |
<obj_property name="DisplayName">label</obj_property> |
<obj_property name="BkColor">128 128 255</obj_property> |
<obj_property name="TextColor">230 230 230</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/strobe0xsp" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">strobe0xsp</obj_property> |
<obj_property name="ObjectShortName">strobe0xsp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/endofdata0xsp" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">endofdata0xsp</obj_property> |
<obj_property name="ObjectShortName">endofdata0xsp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/datain0xdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">datain0xdp[7:0]</obj_property> |
<obj_property name="ObjectShortName">datain0xdp[7:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/hashtableentryxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">hashtableentryxd[11:0]</obj_property> |
<obj_property name="ObjectShortName">hashtableentryxd[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/histbuflen0xdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">histbuflen0xdp</obj_property> |
<obj_property name="ObjectShortName">histbuflen0xdp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadlen1xdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadlen1xdn</obj_property> |
<obj_property name="ObjectShortName">lookaheadlen1xdn</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/endofdata1xsn" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">endofdata1xsn</obj_property> |
<obj_property name="ObjectShortName">endofdata1xsn</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadbuf1xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadbuf1xdn[15:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadbuf1xdn[15:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/wradr1xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">wradr1xdn[11:0]</obj_property> |
<obj_property name="ObjectShortName">wradr1xdn[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadptr1xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadptr1xdn[11:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadptr1xdn[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/candaddr1xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">candaddr1xdn[11:0]</obj_property> |
<obj_property name="ObjectShortName">candaddr1xdn[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/histbuflen1xdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">histbuflen1xdn</obj_property> |
<obj_property name="ObjectShortName">histbuflen1xdn</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/strobe1xsn" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">strobe1xsn</obj_property> |
<obj_property name="ObjectShortName">strobe1xsn</obj_property> |
</wvobject> |
<wvobject fp_name="divider80" type="divider"> |
<obj_property name="label">Stage 2</obj_property> |
<obj_property name="DisplayName">label</obj_property> |
<obj_property name="BkColor">128 128 255</obj_property> |
<obj_property name="TextColor">230 230 230</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/strobe1xsp" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">strobe1xsp</obj_property> |
<obj_property name="ObjectShortName">strobe1xsp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/endofdata1xsp" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">endofdata1xsp</obj_property> |
<obj_property name="ObjectShortName">endofdata1xsp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadlen1xdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadlen1xdp</obj_property> |
<obj_property name="ObjectShortName">lookaheadlen1xdp</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/candidate2xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">candidate2xdn[127:0]</obj_property> |
<obj_property name="ObjectShortName">candidate2xdn[127:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadbuf1xdp" type="array" db_ref_id="1"> |
<obj_property name="DisplayName">label</obj_property> |
<obj_property name="ElementShortName">lookaheadbuf1xdp[15:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadbuf1xdp[15:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
<obj_property name="label">lookaheadbuf1xdp[15:0]</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/candaddr1xdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">candaddr1xdp[11:0]</obj_property> |
<obj_property name="ObjectShortName">candaddr1xdp[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/wradr1xdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">wradr1xdp[11:0]</obj_property> |
<obj_property name="ObjectShortName">wradr1xdp[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/histbuflen2xdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">histbuflen2xdn</obj_property> |
<obj_property name="ObjectShortName">histbuflen2xdn</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadptr1xdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadptr1xdp[11:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadptr1xdp[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/histbuflen1xdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">histbuflen1xdp</obj_property> |
<obj_property name="ObjectShortName">histbuflen1xdp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadlen2xdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadlen2xdn</obj_property> |
<obj_property name="ObjectShortName">lookaheadlen2xdn</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadbuf2xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadbuf2xdn[15:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadbuf2xdn[15:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/nextwradr2xdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">nextwradr2xdn</obj_property> |
<obj_property name="ObjectShortName">nextwradr2xdn</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/candaddr2xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">candaddr2xdn[11:0]</obj_property> |
<obj_property name="ObjectShortName">candaddr2xdn[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadptr2xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadptr2xdn[11:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadptr2xdn[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/candlen2xd" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">candlen2xd</obj_property> |
<obj_property name="ObjectShortName">candlen2xd</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/endofdata2xsn" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">endofdata2xsn</obj_property> |
<obj_property name="ObjectShortName">endofdata2xsn</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/hashtableentry2xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">hashtableentry2xdn[11:0]</obj_property> |
<obj_property name="ObjectShortName">hashtableentry2xdn[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/strobe2xsn" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">strobe2xsn</obj_property> |
<obj_property name="ObjectShortName">strobe2xsn</obj_property> |
</wvobject> |
<wvobject fp_name="divider82" type="divider"> |
<obj_property name="label">stage 3</obj_property> |
<obj_property name="DisplayName">label</obj_property> |
<obj_property name="BkColor">128 128 255</obj_property> |
<obj_property name="TextColor">230 230 230</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/strobe2xsp" type="logic" db_ref_id="1"> |
<obj_property name="DisplayName">label</obj_property> |
<obj_property name="ElementShortName">strobe2xsp</obj_property> |
<obj_property name="ObjectShortName">strobe2xsp</obj_property> |
<obj_property name="label">strobe2xsp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/endofdata2xsp" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">endofdata2xsp</obj_property> |
<obj_property name="ObjectShortName">endofdata2xsp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/nextwradr2xdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">nextwradr2xdp</obj_property> |
<obj_property name="ObjectShortName">nextwradr2xdp</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/histbuflen2xdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">histbuflen2xdp</obj_property> |
<obj_property name="ObjectShortName">histbuflen2xdp</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadbuf2xdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadbuf2xdp[15:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadbuf2xdp[15:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/candidate2xdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">candidate2xdp[127:0]</obj_property> |
<obj_property name="ObjectShortName">candidate2xdp[127:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/complain3xd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">complain3xd[127:0]</obj_property> |
<obj_property name="ObjectShortName">complain3xd[127:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/candaddr2xdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">candaddr2xdp[11:0]</obj_property> |
<obj_property name="ObjectShortName">candaddr2xdp[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/hashtableentry2xdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">hashtableentry2xdp[11:0]</obj_property> |
<obj_property name="ObjectShortName">hashtableentry2xdp[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadlen2xdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadlen2xdp</obj_property> |
<obj_property name="ObjectShortName">lookaheadlen2xdp</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/matchlenxd" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">matchlenxd</obj_property> |
<obj_property name="ObjectShortName">matchlenxd</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/matchlenlimitedxd" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">matchlenlimitedxd</obj_property> |
<obj_property name="ObjectShortName">matchlenlimitedxd</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadptr2xdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadptr2xdp[11:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadptr2xdp[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/offsetintxd" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">offsetintxd</obj_property> |
<obj_property name="ObjectShortName">offsetintxd</obj_property> |
<obj_property name="Radix">SIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/offsetxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">offsetxd[11:0]</obj_property> |
<obj_property name="ObjectShortName">offsetxd[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/headerstrobexs" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">headerstrobexs</obj_property> |
<obj_property name="ObjectShortName">headerstrobexs</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/headerdataxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">headerdataxd[7:0]</obj_property> |
<obj_property name="ObjectShortName">headerdataxd[7:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/bodystrobexs" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">bodystrobexs</obj_property> |
<obj_property name="ObjectShortName">bodystrobexs</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/bodydataxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">bodydataxd[7:0]</obj_property> |
<obj_property name="ObjectShortName">bodydataxd[7:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="divider136" type="divider"> |
<obj_property name="label">output fifo</obj_property> |
<obj_property name="DisplayName">label</obj_property> |
<obj_property name="BkColor">128 128 255</obj_property> |
<obj_property name="TextColor">230 230 230</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/clkxci" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">clkxci</obj_property> |
<obj_property name="ObjectShortName">clkxci</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/rstxri" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">rstxri</obj_property> |
<obj_property name="ObjectShortName">rstxri</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bodydataxdi" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">bodydataxdi[7:0]</obj_property> |
<obj_property name="ObjectShortName">bodydataxdi[7:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bodystrobexsi" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">bodystrobexsi</obj_property> |
<obj_property name="ObjectShortName">bodystrobexsi</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/headerdataxdi" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">headerdataxdi[7:0]</obj_property> |
<obj_property name="ObjectShortName">headerdataxdi[7:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/headerstrobexsi" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">headerstrobexsi</obj_property> |
<obj_property name="ObjectShortName">headerstrobexsi</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bufoutxdo" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">bufoutxdo[7:0]</obj_property> |
<obj_property name="ObjectShortName">bufoutxdo[7:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/outputvalidxso" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">outputvalidxso</obj_property> |
<obj_property name="ObjectShortName">outputvalidxso</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/rdstrobexsi" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">rdstrobexsi</obj_property> |
<obj_property name="ObjectShortName">rdstrobexsi</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/lengthxdo" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lengthxdo</obj_property> |
<obj_property name="ObjectShortName">lengthxdo</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/inputbufxdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">inputbufxdn[0:15]</obj_property> |
<obj_property name="ObjectShortName">inputbufxdn[0:15]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/inputbufxdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">inputbufxdp[0:15]</obj_property> |
<obj_property name="ObjectShortName">inputbufxdp[0:15]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/transbufxdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">transbufxdn[0:16]</obj_property> |
<obj_property name="ObjectShortName">transbufxdn[0:16]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/transbufxdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">transbufxdp[0:16]</obj_property> |
<obj_property name="ObjectShortName">transbufxdp[0:16]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/inbufcntxdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">inbufcntxdn</obj_property> |
<obj_property name="ObjectShortName">inbufcntxdn</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/inbufcntxdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">inbufcntxdp</obj_property> |
<obj_property name="ObjectShortName">inbufcntxdp</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/transbuflenxdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">transbuflenxdn</obj_property> |
<obj_property name="ObjectShortName">transbuflenxdn</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/transbuflenxdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">transbuflenxdp</obj_property> |
<obj_property name="ObjectShortName">transbuflenxdp</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bramwexs" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">bramwexs[3:0]</obj_property> |
<obj_property name="ObjectShortName">bramwexs[3:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bramwrinxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">bramwrinxd[31:0]</obj_property> |
<obj_property name="ObjectShortName">bramwrinxd[31:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bramwradrxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">bramwradrxd[13:0]</obj_property> |
<obj_property name="ObjectShortName">bramwradrxd[13:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bramrdadrxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">bramrdadrxd[13:0]</obj_property> |
<obj_property name="ObjectShortName">bramrdadrxd[13:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bramdoutxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">bramdoutxd[31:0]</obj_property> |
<obj_property name="ObjectShortName">bramdoutxd[31:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/doreadxs" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">doreadxs</obj_property> |
<obj_property name="ObjectShortName">doreadxs</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/dowritexs" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">dowritexs</obj_property> |
<obj_property name="ObjectShortName">dowritexs</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/readlenxs" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">readlenxs</obj_property> |
<obj_property name="ObjectShortName">readlenxs</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/writelenxs" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">writelenxs</obj_property> |
<obj_property name="ObjectShortName">writelenxs</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/lengthxdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lengthxdn</obj_property> |
<obj_property name="ObjectShortName">lengthxdn</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/lengthxdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lengthxdp</obj_property> |
<obj_property name="ObjectShortName">lengthxdp</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/readptrxdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">readptrxdn</obj_property> |
<obj_property name="ObjectShortName">readptrxdn</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/readptrxdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">readptrxdp</obj_property> |
<obj_property name="ObjectShortName">readptrxdp</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/wrptrxdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">wrptrxdn</obj_property> |
<obj_property name="ObjectShortName">wrptrxdn</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/wrptrxdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">wrptrxdp</obj_property> |
<obj_property name="ObjectShortName">wrptrxdp</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/fifoinxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">fifoinxd[15:0]</obj_property> |
<obj_property name="ObjectShortName">fifoinxd[15:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/fifoinselxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">fifoinselxd[1:0]</obj_property> |
<obj_property name="ObjectShortName">fifoinselxd[1:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/outputvalidxsn" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">outputvalidxsn</obj_property> |
<obj_property name="ObjectShortName">outputvalidxsn</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/outputvalidxsp" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">outputvalidxsp</obj_property> |
<obj_property name="ObjectShortName">outputvalidxsp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/statexsn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">statexsn</obj_property> |
<obj_property name="ObjectShortName">statexsn</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/statexsp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">statexsp</obj_property> |
<obj_property name="ObjectShortName">statexsp</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/framesize" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">framesize</obj_property> |
<obj_property name="ObjectShortName">framesize</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/adr_bit_len" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">adr_bit_len</obj_property> |
<obj_property name="ObjectShortName">adr_bit_len</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/depth" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">depth</obj_property> |
<obj_property name="ObjectShortName">depth</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/trans_buf_len" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">trans_buf_len</obj_property> |
<obj_property name="ObjectShortName">trans_buf_len</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
</wave_config> |
<?xml version="1.0" encoding="UTF-8"?> |
<wave_config> |
<wave_state> |
</wave_state> |
<db_ref_list> |
<db_ref path="/home/lukas/e-/logic-analyzer/LZRW-compressor-OC/lzrw1-compressor-core/lzrw1-compressor-core/trunk/hw/xst_14_2/LZRWcompressor_tb_isim_beh.wdb" id="1" type="auto"> |
<top_modules> |
<top_module name="lzrwcompressor_tb" /> |
<top_module name="numeric_std" /> |
<top_module name="std_logic_1164" /> |
<top_module name="std_logic_arith" /> |
<top_module name="std_logic_signed" /> |
<top_module name="std_logic_textio" /> |
<top_module name="textio" /> |
<top_module name="vcomponents" /> |
<top_module name="vital_primitives" /> |
<top_module name="vital_timing" /> |
<top_module name="vpkg" /> |
</top_modules> |
</db_ref> |
</db_ref_list> |
<WVObjectSize size="129" /> |
<wave_markers> |
<marker time="1650000000" label="" /> |
</wave_markers> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/clkxci" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">clkxci</obj_property> |
<obj_property name="ObjectShortName">clkxci</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/rstxri" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">rstxri</obj_property> |
<obj_property name="ObjectShortName">rstxri</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/datainxdi" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">datainxdi[7:0]</obj_property> |
<obj_property name="ObjectShortName">datainxdi[7:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/strobexsi" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">strobexsi</obj_property> |
<obj_property name="ObjectShortName">strobexsi</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/flushbufxsi" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">flushbufxsi</obj_property> |
<obj_property name="ObjectShortName">flushbufxsi</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/busyxso" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">busyxso</obj_property> |
<obj_property name="ObjectShortName">busyxso</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/donexso" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">donexso</obj_property> |
<obj_property name="ObjectShortName">donexso</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/bufoutxdo" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">bufoutxdo[7:0]</obj_property> |
<obj_property name="ObjectShortName">bufoutxdo[7:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/outputvalidxso" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">outputvalidxso</obj_property> |
<obj_property name="ObjectShortName">outputvalidxso</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/rdstrobexsi" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">rdstrobexsi</obj_property> |
<obj_property name="ObjectShortName">rdstrobexsi</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/lengthxdo" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lengthxdo</obj_property> |
<obj_property name="ObjectShortName">lengthxdo</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/tbdone" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">tbdone</obj_property> |
<obj_property name="ObjectShortName">tbdone</obj_property> |
</wvobject> |
<wvobject fp_name="divider90" type="divider"> |
<obj_property name="label">INTERNALs</obj_property> |
<obj_property name="DisplayName">label</obj_property> |
<obj_property name="BkColor">128 128 255</obj_property> |
<obj_property name="TextColor">230 230 230</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/shiftlookaheadxsn" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">shiftlookaheadxsn</obj_property> |
<obj_property name="ObjectShortName">shiftlookaheadxsn</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/shiftlookaheadxsp" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">shiftlookaheadxsp</obj_property> |
<obj_property name="ObjectShortName">shiftlookaheadxsp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadbufxdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadbufxdn[15:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadbufxdn[15:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadbufxdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadbufxdp[15:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadbufxdp[15:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadlenxdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadlenxdn</obj_property> |
<obj_property name="ObjectShortName">lookaheadlenxdn</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadlenxdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadlenxdp</obj_property> |
<obj_property name="ObjectShortName">lookaheadlenxdp</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/endofdata0xsn" type="logic" db_ref_id="1"> |
<obj_property name="DisplayName">label</obj_property> |
<obj_property name="ElementShortName">endofdata0xsn</obj_property> |
<obj_property name="ObjectShortName">endofdata0xsn</obj_property> |
<obj_property name="label">endofdata0xsn</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/strobe0xsn" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">strobe0xsn</obj_property> |
<obj_property name="ObjectShortName">strobe0xsn</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/wrhistbufxs" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">wrhistbufxs</obj_property> |
<obj_property name="ObjectShortName">wrhistbufxs</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/datain0xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">datain0xdn[7:0]</obj_property> |
<obj_property name="ObjectShortName">datain0xdn[7:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/hashtableentryxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">hashtableentryxd[11:0]</obj_property> |
<obj_property name="ObjectShortName">hashtableentryxd[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/histbufoutxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">histbufoutxd[127:0]</obj_property> |
<obj_property name="ObjectShortName">histbufoutxd[127:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/nextwradrxd" type="array" db_ref_id="1"> |
<obj_property name="DisplayName">label</obj_property> |
<obj_property name="ElementShortName">nextwradrxd[11:0]</obj_property> |
<obj_property name="ObjectShortName">nextwradrxd[11:0]</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
<obj_property name="label">nextwradrxd[11:0]</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadptr0xd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadptr0xd[11:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadptr0xd[11:0]</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/histbuflen0xdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">histbuflen0xdn</obj_property> |
<obj_property name="ObjectShortName">histbuflen0xdn</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/statexsn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">statexsn</obj_property> |
<obj_property name="ObjectShortName">statexsn</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/statexsp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">statexsp</obj_property> |
<obj_property name="ObjectShortName">statexsp</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="divider165" type="divider"> |
<obj_property name="label">stage 1</obj_property> |
<obj_property name="DisplayName">label</obj_property> |
<obj_property name="BkColor">128 128 255</obj_property> |
<obj_property name="TextColor">230 230 230</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/strobe0xsp" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">strobe0xsp</obj_property> |
<obj_property name="ObjectShortName">strobe0xsp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/endofdata0xsp" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">endofdata0xsp</obj_property> |
<obj_property name="ObjectShortName">endofdata0xsp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/datain0xdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">datain0xdp[7:0]</obj_property> |
<obj_property name="ObjectShortName">datain0xdp[7:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/hashtableentryxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">hashtableentryxd[11:0]</obj_property> |
<obj_property name="ObjectShortName">hashtableentryxd[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/histbuflen0xdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">histbuflen0xdp</obj_property> |
<obj_property name="ObjectShortName">histbuflen0xdp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadlen1xdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadlen1xdn</obj_property> |
<obj_property name="ObjectShortName">lookaheadlen1xdn</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/endofdata1xsn" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">endofdata1xsn</obj_property> |
<obj_property name="ObjectShortName">endofdata1xsn</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadbuf1xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadbuf1xdn[15:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadbuf1xdn[15:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/wradr1xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">wradr1xdn[11:0]</obj_property> |
<obj_property name="ObjectShortName">wradr1xdn[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadptr1xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadptr1xdn[11:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadptr1xdn[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/candaddr1xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">candaddr1xdn[11:0]</obj_property> |
<obj_property name="ObjectShortName">candaddr1xdn[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/histbuflen1xdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">histbuflen1xdn</obj_property> |
<obj_property name="ObjectShortName">histbuflen1xdn</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/strobe1xsn" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">strobe1xsn</obj_property> |
<obj_property name="ObjectShortName">strobe1xsn</obj_property> |
</wvobject> |
<wvobject fp_name="divider80" type="divider"> |
<obj_property name="label">Stage 2</obj_property> |
<obj_property name="DisplayName">label</obj_property> |
<obj_property name="BkColor">128 128 255</obj_property> |
<obj_property name="TextColor">230 230 230</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/strobe1xsp" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">strobe1xsp</obj_property> |
<obj_property name="ObjectShortName">strobe1xsp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/endofdata1xsp" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">endofdata1xsp</obj_property> |
<obj_property name="ObjectShortName">endofdata1xsp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadlen1xdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadlen1xdp</obj_property> |
<obj_property name="ObjectShortName">lookaheadlen1xdp</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/candidate2xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">candidate2xdn[127:0]</obj_property> |
<obj_property name="ObjectShortName">candidate2xdn[127:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/candlen2xdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">candlen2xdn</obj_property> |
<obj_property name="ObjectShortName">candlen2xdn</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadbuf1xdp" type="array" db_ref_id="1"> |
<obj_property name="DisplayName">label</obj_property> |
<obj_property name="ElementShortName">lookaheadbuf1xdp[15:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadbuf1xdp[15:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
<obj_property name="label">lookaheadbuf1xdp[15:0]</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/candaddr1xdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">candaddr1xdp[11:0]</obj_property> |
<obj_property name="ObjectShortName">candaddr1xdp[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/wradr1xdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">wradr1xdp[11:0]</obj_property> |
<obj_property name="ObjectShortName">wradr1xdp[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/histbuflen2xdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">histbuflen2xdn</obj_property> |
<obj_property name="ObjectShortName">histbuflen2xdn</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadptr1xdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadptr1xdp[11:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadptr1xdp[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/histbuflen1xdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">histbuflen1xdp</obj_property> |
<obj_property name="ObjectShortName">histbuflen1xdp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadlen2xdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadlen2xdn</obj_property> |
<obj_property name="ObjectShortName">lookaheadlen2xdn</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadbuf2xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadbuf2xdn[15:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadbuf2xdn[15:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/nextwradr2xdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">nextwradr2xdn</obj_property> |
<obj_property name="ObjectShortName">nextwradr2xdn</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/candaddr2xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">candaddr2xdn[11:0]</obj_property> |
<obj_property name="ObjectShortName">candaddr2xdn[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadptr2xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadptr2xdn[11:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadptr2xdn[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/endofdata2xsn" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">endofdata2xsn</obj_property> |
<obj_property name="ObjectShortName">endofdata2xsn</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/hashtableentry2xdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">hashtableentry2xdn[11:0]</obj_property> |
<obj_property name="ObjectShortName">hashtableentry2xdn[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/strobe2xsn" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">strobe2xsn</obj_property> |
<obj_property name="ObjectShortName">strobe2xsn</obj_property> |
</wvobject> |
<wvobject fp_name="divider82" type="divider"> |
<obj_property name="label">stage 3</obj_property> |
<obj_property name="DisplayName">label</obj_property> |
<obj_property name="BkColor">128 128 255</obj_property> |
<obj_property name="TextColor">230 230 230</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/strobe2xsp" type="logic" db_ref_id="1"> |
<obj_property name="DisplayName">label</obj_property> |
<obj_property name="ElementShortName">strobe2xsp</obj_property> |
<obj_property name="ObjectShortName">strobe2xsp</obj_property> |
<obj_property name="label">strobe2xsp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/endofdata2xsp" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">endofdata2xsp</obj_property> |
<obj_property name="ObjectShortName">endofdata2xsp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/candlen2xdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">candlen2xdp</obj_property> |
<obj_property name="ObjectShortName">candlen2xdp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/nextwradr2xdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">nextwradr2xdp</obj_property> |
<obj_property name="ObjectShortName">nextwradr2xdp</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/histbuflen2xdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">histbuflen2xdp</obj_property> |
<obj_property name="ObjectShortName">histbuflen2xdp</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadbuf2xdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadbuf2xdp[15:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadbuf2xdp[15:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/candidate2xdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">candidate2xdp[127:0]</obj_property> |
<obj_property name="ObjectShortName">candidate2xdp[127:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/complain3xd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">complain3xd[127:0]</obj_property> |
<obj_property name="ObjectShortName">complain3xd[127:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/candaddr2xdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">candaddr2xdp[11:0]</obj_property> |
<obj_property name="ObjectShortName">candaddr2xdp[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/hashtableentry2xdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">hashtableentry2xdp[11:0]</obj_property> |
<obj_property name="ObjectShortName">hashtableentry2xdp[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadlen2xdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadlen2xdp</obj_property> |
<obj_property name="ObjectShortName">lookaheadlen2xdp</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/matchlenxd" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">matchlenxd</obj_property> |
<obj_property name="ObjectShortName">matchlenxd</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/matchlenlimitedxd" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">matchlenlimitedxd</obj_property> |
<obj_property name="ObjectShortName">matchlenlimitedxd</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadptr2xdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">lookaheadptr2xdp[11:0]</obj_property> |
<obj_property name="ObjectShortName">lookaheadptr2xdp[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/offsetintxd" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">offsetintxd</obj_property> |
<obj_property name="ObjectShortName">offsetintxd</obj_property> |
<obj_property name="Radix">SIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/offsetxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">offsetxd[11:0]</obj_property> |
<obj_property name="ObjectShortName">offsetxd[11:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/headerstrobexs" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">headerstrobexs</obj_property> |
<obj_property name="ObjectShortName">headerstrobexs</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/headerdataxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">headerdataxd[7:0]</obj_property> |
<obj_property name="ObjectShortName">headerdataxd[7:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/bodystrobexs" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">bodystrobexs</obj_property> |
<obj_property name="ObjectShortName">bodystrobexs</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/bodydataxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">bodydataxd[7:0]</obj_property> |
<obj_property name="ObjectShortName">bodydataxd[7:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="divider136" type="divider"> |
<obj_property name="label">output fifo</obj_property> |
<obj_property name="DisplayName">label</obj_property> |
<obj_property name="BkColor">128 128 255</obj_property> |
<obj_property name="TextColor">230 230 230</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/clkxci" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">clkxci</obj_property> |
<obj_property name="ObjectShortName">clkxci</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/rstxri" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">rstxri</obj_property> |
<obj_property name="ObjectShortName">rstxri</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bodydataxdi" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">bodydataxdi[7:0]</obj_property> |
<obj_property name="ObjectShortName">bodydataxdi[7:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bodystrobexsi" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">bodystrobexsi</obj_property> |
<obj_property name="ObjectShortName">bodystrobexsi</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/headerdataxdi" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">headerdataxdi[7:0]</obj_property> |
<obj_property name="ObjectShortName">headerdataxdi[7:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/headerstrobexsi" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">headerstrobexsi</obj_property> |
<obj_property name="ObjectShortName">headerstrobexsi</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bufoutxdo" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">bufoutxdo[7:0]</obj_property> |
<obj_property name="ObjectShortName">bufoutxdo[7:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/outputvalidxso" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">outputvalidxso</obj_property> |
<obj_property name="ObjectShortName">outputvalidxso</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/rdstrobexsi" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">rdstrobexsi</obj_property> |
<obj_property name="ObjectShortName">rdstrobexsi</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/lengthxdo" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lengthxdo</obj_property> |
<obj_property name="ObjectShortName">lengthxdo</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/inputbufxdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">inputbufxdn[0:15]</obj_property> |
<obj_property name="ObjectShortName">inputbufxdn[0:15]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/inputbufxdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">inputbufxdp[0:15]</obj_property> |
<obj_property name="ObjectShortName">inputbufxdp[0:15]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/transbufxdn" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">transbufxdn[0:16]</obj_property> |
<obj_property name="ObjectShortName">transbufxdn[0:16]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/transbufxdp" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">transbufxdp[0:16]</obj_property> |
<obj_property name="ObjectShortName">transbufxdp[0:16]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/inbufcntxdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">inbufcntxdn</obj_property> |
<obj_property name="ObjectShortName">inbufcntxdn</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/inbufcntxdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">inbufcntxdp</obj_property> |
<obj_property name="ObjectShortName">inbufcntxdp</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/transbuflenxdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">transbuflenxdn</obj_property> |
<obj_property name="ObjectShortName">transbuflenxdn</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/transbuflenxdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">transbuflenxdp</obj_property> |
<obj_property name="ObjectShortName">transbuflenxdp</obj_property> |
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bramwexs" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">bramwexs[3:0]</obj_property> |
<obj_property name="ObjectShortName">bramwexs[3:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bramwrinxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">bramwrinxd[31:0]</obj_property> |
<obj_property name="ObjectShortName">bramwrinxd[31:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bramwradrxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">bramwradrxd[13:0]</obj_property> |
<obj_property name="ObjectShortName">bramwradrxd[13:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bramrdadrxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">bramrdadrxd[13:0]</obj_property> |
<obj_property name="ObjectShortName">bramrdadrxd[13:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bramdoutxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">bramdoutxd[31:0]</obj_property> |
<obj_property name="ObjectShortName">bramdoutxd[31:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/doreadxs" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">doreadxs</obj_property> |
<obj_property name="ObjectShortName">doreadxs</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/dowritexs" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">dowritexs</obj_property> |
<obj_property name="ObjectShortName">dowritexs</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/readlenxs" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">readlenxs</obj_property> |
<obj_property name="ObjectShortName">readlenxs</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/writelenxs" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">writelenxs</obj_property> |
<obj_property name="ObjectShortName">writelenxs</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/lengthxdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lengthxdn</obj_property> |
<obj_property name="ObjectShortName">lengthxdn</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/lengthxdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">lengthxdp</obj_property> |
<obj_property name="ObjectShortName">lengthxdp</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/readptrxdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">readptrxdn</obj_property> |
<obj_property name="ObjectShortName">readptrxdn</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/readptrxdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">readptrxdp</obj_property> |
<obj_property name="ObjectShortName">readptrxdp</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/wrptrxdn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">wrptrxdn</obj_property> |
<obj_property name="ObjectShortName">wrptrxdn</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/wrptrxdp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">wrptrxdp</obj_property> |
<obj_property name="ObjectShortName">wrptrxdp</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/fifoinxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">fifoinxd[15:0]</obj_property> |
<obj_property name="ObjectShortName">fifoinxd[15:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/fifoinselxd" type="array" db_ref_id="1"> |
<obj_property name="ElementShortName">fifoinselxd[1:0]</obj_property> |
<obj_property name="ObjectShortName">fifoinselxd[1:0]</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/outputvalidxsn" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">outputvalidxsn</obj_property> |
<obj_property name="ObjectShortName">outputvalidxsn</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/outputvalidxsp" type="logic" db_ref_id="1"> |
<obj_property name="ElementShortName">outputvalidxsp</obj_property> |
<obj_property name="ObjectShortName">outputvalidxsp</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/statexsn" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">statexsn</obj_property> |
<obj_property name="ObjectShortName">statexsn</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/statexsp" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">statexsp</obj_property> |
<obj_property name="ObjectShortName">statexsp</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/framesize" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">framesize</obj_property> |
<obj_property name="ObjectShortName">framesize</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/adr_bit_len" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">adr_bit_len</obj_property> |
<obj_property name="ObjectShortName">adr_bit_len</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/depth" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">depth</obj_property> |
<obj_property name="ObjectShortName">depth</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/trans_buf_len" type="other" db_ref_id="1"> |
<obj_property name="ElementShortName">trans_buf_len</obj_property> |
<obj_property name="ObjectShortName">trans_buf_len</obj_property> |
<obj_property name="Radix">HEXRADIX</obj_property> |
</wvobject> |
</wave_config> |
/xst_14_2/iseconfig/LZRWcompressor.projectmgr
9,13 → 9,13
<ClosedNodesVersion>2</ClosedNodesVersion> |
</ClosedNodes> |
<SelectedItems> |
<SelectedItem>LZRWcompressor.ucf (/home/lukas/e-/logic-analyzer/LZRW-compressor/hw/xst_14_2/LZRWcompressor.ucf)</SelectedItem> |
<SelectedItem>CompressorTop - Behavioral (/home/lukas/e-/logic-analyzer/LZRW-compressor-OC/lzrw1-compressor-core/lzrw1-compressor-core/trunk/hw/HDL/CompressorTop.vhd)</SelectedItem> |
</SelectedItems> |
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition> |
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition> |
<ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000011e000000020000000000000000000000000000000064ffffffff0000008100000000000000020000011e0000000100000000000000000000000100000000</ViewHeaderState> |
<UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths> |
<CurrentItem>LZRWcompressor.ucf (/home/lukas/e-/logic-analyzer/LZRW-compressor/hw/xst_14_2/LZRWcompressor.ucf)</CurrentItem> |
<CurrentItem>CompressorTop - Behavioral (/home/lukas/e-/logic-analyzer/LZRW-compressor-OC/lzrw1-compressor-core/lzrw1-compressor-core/trunk/hw/HDL/CompressorTop.vhd)</CurrentItem> |
</ItemView> |
<ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" > |
<ClosedNodes> |
67,27 → 67,31
<ClosedNode>User Constraints</ClosedNode> |
</ClosedNodes> |
<SelectedItems> |
<SelectedItem>Analyze Timing / Floorplan Design (PlanAhead)</SelectedItem> |
<SelectedItem>Implement Design</SelectedItem> |
</SelectedItems> |
<ScrollbarPosition orientation="vertical" >8</ScrollbarPosition> |
<ScrollbarPosition orientation="vertical" >4</ScrollbarPosition> |
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition> |
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000e5000000010000000100000000000000000000000064ffffffff000000810000000000000001000000e50000000100000000</ViewHeaderState> |
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths> |
<CurrentItem>Analyze Timing / Floorplan Design (PlanAhead)</CurrentItem> |
<CurrentItem>Implement Design</CurrentItem> |
</ItemView> |
<ItemView engineview="BehavioralSim" guiview="Source" compilemode="AutoCompile" > |
<ClosedNodes> |
<ClosedNodesVersion>2</ClosedNodesVersion> |
<ClosedNode>/CompressorTop_tb - TB |home|lukas|e-|logic-analyzer|LZRW-compressor-OC|lzrw1-compressor-core|lzrw1-compressor-core|trunk|hw|testbench|CompressorTopTb.vhd</ClosedNode> |
<ClosedNode>/CompressorTop_tb - TB |home|lukas|e-|logic-analyzer|LZRW-compressor|hw|testbench|CompressorTopTb.vhd</ClosedNode> |
<ClosedNode>/CompressorTop_tb - TB |home|lukas|e-|logic-analyzer|LZRW-compressor|hw|testbench|CompressorTopTb.vhd/DUT - CompressorTop - Behavioral/LZRWcompressorInst - LZRWcompressor - Behavioral</ClosedNode> |
<ClosedNode>/HashTable_tb - tb D:|e-|logic-analyzer|compression-test|hw|testbench|TbHash.vhd</ClosedNode> |
<ClosedNode>/HashTable_tb - tb G:|e-|logic-analyzer|LZRW-compressor|hw|testbench|TbHash.vhd</ClosedNode> |
<ClosedNode>/HashTable_tb - tb |home|lukas|e-|logic-analyzer|LZRW-compressor-OC|lzrw1-compressor-core|lzrw1-compressor-core|trunk|hw|testbench|HastTb.vhd</ClosedNode> |
<ClosedNode>/HashTable_tb - tb |home|lukas|e-|logic-analyzer|LZRW-compressor|hw|testbench|TbHash.vhd</ClosedNode> |
<ClosedNode>/InputFIFO_tb - tb D:|e-|logic-analyzer|compression-test|hw|testbench|InputFIFOTb.vhd</ClosedNode> |
<ClosedNode>/InputFIFO_tb - tb G:|e-|logic-analyzer|LZRW-compressor|hw|testbench|InputFIFOTb.vhd</ClosedNode> |
<ClosedNode>/InputFIFO_tb - tb |home|lukas|e-|logic-analyzer|LZRW-compressor-OC|lzrw1-compressor-core|lzrw1-compressor-core|trunk|hw|testbench|InputFIFOTb.vhd</ClosedNode> |
<ClosedNode>/InputFIFO_tb - tb |home|lukas|e-|logic-analyzer|LZRW-compressor|hw|testbench|InputFIFOTb.vhd</ClosedNode> |
<ClosedNode>/LZRWcompressor_tb - tb D:|e-|logic-analyzer|compression-test|hw|testbench|LZRWcompressorTb.vhd</ClosedNode> |
<ClosedNode>/LZRWcompressor_tb - tb G:|e-|logic-analyzer|LZRW-compressor|hw|testbench|LZRWcompressorTb.vhd</ClosedNode> |
<ClosedNode>/LZRWcompressor_tb - tb |home|lukas|e-|logic-analyzer|LZRW-compressor-OC|lzrw1-compressor-core|lzrw1-compressor-core|trunk|hw|testbench|LZRWcompressorTb.vhd</ClosedNode> |
<ClosedNode>/LZRWcompressor_tb - tb |home|lukas|e-|logic-analyzer|LZRW-compressor|hw|testbench|LZRWcompressorTb.vhd</ClosedNode> |
<ClosedNode>/comparator_tb - Tb D:|e-|logic-analyzer|compression-test|hw|HDL|comparator_tb.vhd</ClosedNode> |
<ClosedNode>/comparator_tb - Tb G:|e-|logic-analyzer|LZRW-compressor|hw|HDL|comparator_tb.vhd</ClosedNode> |
97,6 → 101,7
<ClosedNode>/historyBuffer_tb - tb |home|lukas|e-|logic-analyzer|LZRW-compressor|hw|HDL|historyTb.vhd</ClosedNode> |
<ClosedNode>/outputEncoder_tb - Tb D:|e-|logic-analyzer|compression-test|hw|testbench|outputEncoderTb.vhd</ClosedNode> |
<ClosedNode>/outputEncoder_tb - Tb G:|e-|logic-analyzer|LZRW-compressor|hw|testbench|outputEncoderTb.vhd</ClosedNode> |
<ClosedNode>/outputEncoder_tb - Tb |home|lukas|e-|logic-analyzer|LZRW-compressor-OC|lzrw1-compressor-core|lzrw1-compressor-core|trunk|hw|testbench|outputEncoderTb.vhd</ClosedNode> |
<ClosedNode>/outputEncoder_tb - Tb |home|lukas|e-|logic-analyzer|LZRW-compressor|hw|testbench|outputEncoderTb.vhd</ClosedNode> |
<ClosedNode>/outputFIFO_tb - tb D:|e-|logic-analyzer|compression-test|hw|testbench|outputFIFOTb.vhd</ClosedNode> |
<ClosedNode>/outputFIFO_tb - tb G:|e-|logic-analyzer|LZRW-compressor|hw|testbench|outputFIFOTb.vhd</ClosedNode> |
103,13 → 108,13
<ClosedNode>/outputFIFO_tb - tb |home|lukas|e-|logic-analyzer|LZRW-compressor|hw|testbench|outputFIFOTb.vhd</ClosedNode> |
</ClosedNodes> |
<SelectedItems> |
<SelectedItem>Unassigned User Library Modules</SelectedItem> |
<SelectedItem>LZRWcompressor_tb - tb (/home/lukas/e-/logic-analyzer/LZRW-compressor-OC/lzrw1-compressor-core/lzrw1-compressor-core/trunk/hw/testbench/LZRWcompressorTb.vhd)</SelectedItem> |
</SelectedItems> |
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition> |
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition> |
<ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000018e000000020000000000000000000000000000000064ffffffff0000008100000000000000020000018e0000000100000000000000000000000100000000</ViewHeaderState> |
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths> |
<CurrentItem>Unassigned User Library Modules</CurrentItem> |
<CurrentItem>LZRWcompressor_tb - tb (/home/lukas/e-/logic-analyzer/LZRW-compressor-OC/lzrw1-compressor-core/lzrw1-compressor-core/trunk/hw/testbench/LZRWcompressorTb.vhd)</CurrentItem> |
</ItemView> |
<ItemView engineview="BehavioralSim" sourcetype="" guiview="Process" > |
<ClosedNodes> |
134,23 → 139,23
</SelectedItems> |
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition> |
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition> |
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000001ab000000010000000100000000000000000000000064ffffffff000000810000000000000001000001ab0000000100000000</ViewHeaderState> |
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f4000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f40000000100000000</ViewHeaderState> |
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths> |
<CurrentItem>Simulate Behavioral Model</CurrentItem> |
</ItemView> |
<SourceProcessView>000000ff0000000000000002000000c20000008b01000000060100000002</SourceProcessView> |
<CurrentView>Behavioral Simulation</CurrentView> |
<CurrentView>Implementation</CurrentView> |
<ItemView engineview="SynthesisOnly" sourcetype="DESUT_UCF" guiview="Process" > |
<ClosedNodes> |
<ClosedNodesVersion>1</ClosedNodesVersion> |
</ClosedNodes> |
<SelectedItems> |
<SelectedItem>Edit Constraints (Text)</SelectedItem> |
<SelectedItem></SelectedItem> |
</SelectedItems> |
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition> |
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition> |
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f4000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f40000000100000000</ViewHeaderState> |
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths> |
<CurrentItem>Edit Constraints (Text)</CurrentItem> |
<CurrentItem></CurrentItem> |
</ItemView> |
</Project> |
/xst_14_2/pa.fromNcd.tcl
1,15 → 1,15
|
# PlanAhead Launch Script for Post PAR Floorplanning, created by Project Navigator |
|
create_project -name LZRWcompressor -dir "/home/lukas/e-/logic-analyzer/compression-test/hw/xst_12_3/planAhead_run_1" -part xa6slx45csg324-2 |
create_project -name LZRWcompressor -dir "/home/lukas/e-/logic-analyzer/LZRW-compressor-OC/lzrw1-compressor-core/lzrw1-compressor-core/trunk/hw/xst_14_2/planAhead_run_1" -part xa6slx45csg324-2 |
set srcset [get_property srcset [current_run -impl]] |
set_property design_mode GateLvl $srcset |
set_property edif_top_file "/home/lukas/e-/logic-analyzer/compression-test/hw/xst_12_3/LZRWcompressor.ngc" [ get_property srcset [ current_run ] ] |
add_files -norecurse { {/home/lukas/e-/logic-analyzer/compression-test/hw/xst_12_3} } |
set_param project.paUcfFile "LZRWcompressor.ucf" |
add_files "LZRWcompressor.ucf" -fileset [get_property constrset [current_run]] |
open_netlist_design |
read_xdl -file "/home/lukas/e-/logic-analyzer/compression-test/hw/xst_12_3/LZRWcompressor.ncd" |
if {[catch {read_twx -name results_1 -file "/home/lukas/e-/logic-analyzer/compression-test/hw/xst_12_3/LZRWcompressor.twx"} eInfo]} { |
puts "WARNING: there was a problem importing \"/home/lukas/e-/logic-analyzer/compression-test/hw/xst_12_3/LZRWcompressor.twx\": $eInfo" |
set_property edif_top_file "/home/lukas/e-/logic-analyzer/LZRW-compressor-OC/lzrw1-compressor-core/lzrw1-compressor-core/trunk/hw/xst_14_2/CompressorTop.ngc" [ get_property srcset [ current_run ] ] |
add_files -norecurse { {/home/lukas/e-/logic-analyzer/LZRW-compressor-OC/lzrw1-compressor-core/lzrw1-compressor-core/trunk/hw/xst_14_2} } |
set_property target_constrs_file "LZRWcompressor.ucf" [current_fileset -constrset] |
add_files [list {LZRWcompressor.ucf}] -fileset [get_property constrset [current_run]] |
link_design |
read_xdl -file "/home/lukas/e-/logic-analyzer/LZRW-compressor-OC/lzrw1-compressor-core/lzrw1-compressor-core/trunk/hw/xst_14_2/CompressorTop.ncd" |
if {[catch {read_twx -name results_1 -file "/home/lukas/e-/logic-analyzer/LZRW-compressor-OC/lzrw1-compressor-core/lzrw1-compressor-core/trunk/hw/xst_14_2/CompressorTop.twx"} eInfo]} { |
puts "WARNING: there was a problem importing \"/home/lukas/e-/logic-analyzer/LZRW-compressor-OC/lzrw1-compressor-core/lzrw1-compressor-core/trunk/hw/xst_14_2/CompressorTop.twx\": $eInfo" |
} |
/xst_14_2/planAhead.ngc2edif.log
16,3 → 16,24
Writing EDIF netlist file LZRWcompressor.edif ... |
ngc2edif: Total memory usage is 24204 kilobytes |
|
Release 14.4 - ngc2edif P.49d (lin64) |
Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. |
Reading design CompressorTop.ngc ... |
WARNING:NetListWriters:298 - No output is written to CompressorTop.xncf, |
ignored. |
Processing design ... |
Preping design's networks ... |
Preping design's macros ... |
WARNING:NetListWriters:306 - Signal bus InputFIFOInst/Madd_n0079_Madd_cy<9 : 6> |
on block CompressorTop is not reconstructed, because there are some missing |
bus signals. |
WARNING:NetListWriters:306 - Signal bus LZRWcompressorInst/CandLen2xDP<4 : 1> on |
block CompressorTop is not reconstructed, because there are some missing bus |
signals. |
WARNING:NetListWriters:306 - Signal bus LZRWcompressorInst/comparatorInst/cnt<3 |
: 0> on block CompressorTop is not reconstructed, because there are some |
missing bus signals. |
finished :Prep |
Writing EDIF netlist file CompressorTop.edif ... |
ngc2edif: Total memory usage is 110344 kilobytes |
|
/HDL/LZRWcompressor.vhd
178,7 → 178,7
signal Candidate2xDN, Candidate2xDP : std_logic_vector(LOOK_AHEAD_LEN*8-1 downto 0) := (others => '0'); |
signal NextWrAdr2xDN, NextWrAdr2xDP : integer range 0 to LOOK_AHEAD_LEN := 0; |
signal CandAddr2xDN, CandAddr2xDP : std_logic_vector(11 downto 0) := (others => '0'); |
signal CandLen2xD : integer range 0 to LOOK_AHEAD_LEN; |
signal CandLen2xDN, CandLen2xDP : integer range 0 to LOOK_AHEAD_LEN; |
signal EndOfData2xSN, EndOfData2xSP : std_logic := '0'; |
signal OffsetIntxD : integer range -HIST_BUF_LEN to HIST_BUF_LEN; |
signal OffsetxD : std_logic_vector(11 downto 0); |
213,15 → 213,15
Strobe0xSN <= '0'; |
EndOfData0xSN <= '0'; |
DataIn0xDN <= DataIn0xDP; |
BusyxSN <= '0'; |
BusyxSN <= '0'; |
|
case StatexSP is |
when ST_FILL_LOOK_AHEAD => |
-- don't shift here, we are still loading data |
--ShiftLookAheadxSN <= StrobexSI; -- the shift is delayed by one cycle because we have to process the byte first |
WrHistBufxS <= StrobexSI; |
DataIn0xDN <= DataInxDI; |
if FlushBufxSI='1' then |
WrHistBufxS <= StrobexSI; |
DataIn0xDN <= DataInxDI; |
if FlushBufxSI = '1' then |
StatexSN <= ST_DRAIN_LOOK_AHEAD; |
elsif LookAheadLenxDP = LOOK_AHEAD_LEN-1 then |
-- this byte is number look_ahead_len-1, so it is the last one before the buffer is full |
256,7 → 256,7
end case; |
|
if OutFIFOLengthxD > OUT_FIFO_THR then |
BusyxSN <= '1'; -- request stop of data input if output FIFO is full |
BusyxSN <= '1'; -- request stop of data input if output FIFO is full |
end if; |
end process; |
|
293,7 → 293,7
process (DataInxDI, LookAheadLenxDP, ShiftLookAheadxSP, StrobexSI, |
lookAheadBufxDP) |
begin -- process |
lookAheadBufxDN(i) <= lookAheadBufxDP(i); -- default: do nothing |
lookAheadBufxDN(i) <= lookAheadBufxDP(i); -- default: do nothing |
if ShiftLookAheadxSP = '1' then |
lookAheadBufxDN(i) <= lookAheadBufxDP(i+1); -- shift done one entry |
elsif LookAheadLenxDP = i and StrobexSI = '1' then |
400,27 → 400,32
MaxCandLenxD <= LOOK_AHEAD_LEN; |
|
-- use a shifter to implement the last two bytes of the address |
process (CandAddr1xDP, CandAddr2xDP, Candidate2xDP, HistBufLen1xDP, |
HistBufLen2xDP, HistBufOutxD, LookAheadBuf1xDP, LookAheadBuf2xDP, |
LookAheadLen1xDP, LookAheadLen2xDP, LookAheadPtr1xDP, MaxCandLenxD, |
Strobe1xSP) |
process (CandAddr1xDP, CandAddr2xDP, CandLen2xDP, Candidate2xDP, |
HistBufLen1xDP, HistBufLen2xDP, HistBufOutxD, LookAheadBuf1xDP, |
LookAheadBuf2xDP, LookAheadLen1xDP, LookAheadLen2xDP, |
LookAheadPtr1xDP, LookAheadPtr2xDP, MaxCandLenxD, Strobe1xSP) |
begin |
Candidate2xDN <= Candidate2xDP; |
LookAheadBuf2xDN <= LookAheadBuf2xDP; |
LookAheadLen2xDN <= LookAheadLen2xDP; |
CandAddr2xDN <= CandAddr2xDP; |
LookAheadPtr2xDN <= LookAheadPtr2xDP; |
HistBufLen2xDN <= HistBufLen2xDP; |
|
CandLen2xDN <= CandLen2xDP; |
-- send data through pipeline when strobe is high |
if Strobe1xSP = '1' then |
-- note: the history buffer can't load data only from addresses where the |
-- last two bits are zero. If this was not the case we shift the candidate |
-- (which makes it shorter) to correct that |
case CandAddr1xDP(1 downto 0) is |
when "00" => Candidate2xDN <= HistBufOutxD; -- no shifting |
CandLen2xD <= MaxCandLenxD; |
CandLen2xDN <= MaxCandLenxD; |
when "01" => Candidate2xDN <= x"00" & HistBufOutxD(LOOK_AHEAD_LEN*8-1 downto 8); -- shift one byte |
CandLen2xD <= MaxCandLenxD-1; -- we shifted one byte out -> candidate is one byte shorter |
CandLen2xDN <= MaxCandLenxD-1; -- we shifted one byte out -> candidate is one byte shorter |
when "10" => Candidate2xDN <= x"0000" & HistBufOutxD(LOOK_AHEAD_LEN*8-1 downto 16); -- shift 2 bytes |
CandLen2xD <= MaxCandLenxD-2; |
CandLen2xDN <= MaxCandLenxD-2; |
when "11" => Candidate2xDN <= x"000000" & HistBufOutxD(LOOK_AHEAD_LEN*8-1 downto 24); -- shift 3 bytes |
CandLen2xD <= MaxCandLenxD-3; |
CandLen2xDN <= MaxCandLenxD-3; |
when others => null; |
end case; |
|
457,7 → 462,7
LookAheadxDI => CompLAIn3xD, |
LookAheadLenxDI => LookAheadLen2xDP, |
CandidatexDI => Candidate2xDP, |
CandidateLenxDI => CandLen2xD, |
CandidateLenxDI => CandLen2xDP, |
MatchLenxDO => MatchLenxD); |
|
-- calculate the offset |
574,6 → 579,7
LookAheadPtr2xDP <= LookAheadPtr2xDN; |
CandAddr2xDP <= CandAddr2xDN; |
Candidate2xDP <= Candidate2xDN; |
CandLen2xDP <= CandLen2xDN; |
HashTableEntry2xDP <= HashTableEntry2xDN; |
EndOfData0xSP <= EndOfData0xSN; |
EndOfData1xSP <= EndOfData1xSN; |