OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /m1_core/tags/first/tools
    from Rev 3 to Rev 54
    Reverse comparison

Rev 3 → Rev 54

/src/boot.s
0,0 → 1,12
# Minimal SIMPLE boot code
 
.text
 
# Initialize Stack Pointer ($sp) to make the program fit into 1 KByte of memory space
addi $29,$29,1024
 
# Initialize Return Address ($ra) to jump to the "end-of-test" special address
lui $31,0xDEAD
ori $31,0xBEEF
 
# Continue to the main test
/src/.synopsys_dc.setup
0,0 → 1,8
set_local_link_library = { umce13h210t3_tc_120V_25C.db }
search_path = { /usr/design/techlibs/umc/design_compiler ../../../hdl/rtl/m1_cpu }
link_library = { umce13h210t3_tc_120V_25C.db }
target_library = { umce13h210t3_tc_120V_25C.db }
symbol_library = { umce13h210t3.sdb }
define_design_lib DEFAULT -path ./WORK_SYNTH
verilogout_write_components = "FALSE"
 
src/.synopsys_dc.setup Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: bin/dump2hex.php =================================================================== --- bin/dump2hex.php (nonexistent) +++ bin/dump2hex.php (revision 54) @@ -0,0 +1,27 @@ +#!/usr/bin/php -q + +
bin/dump2hex.php Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: bin/build_dc =================================================================== --- bin/build_dc (nonexistent) +++ bin/build_dc (revision 54) @@ -0,0 +1,7 @@ +#!/bin/bash + +rm -rf $M1_ROOT/run/synth/dc +mkdir $M1_ROOT/run/synth/dc +cd $M1_ROOT/run/synth/dc +dc_shell -tcl_mode -f $FILELIST_DC 2>&1 | tee synth.log +
bin/build_dc Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: bin/build_icarus =================================================================== --- bin/build_icarus (nonexistent) +++ bin/build_icarus (revision 54) @@ -0,0 +1,7 @@ +#!/bin/bash + +rm -rf $M1_ROOT/run/sim/icarus +mkdir $M1_ROOT/run/sim/icarus +cd $M1_ROOT/run/sim/icarus +iverilog -o testbench -c$FILELIST_ICARUS 2>&1 | tee build.log +
bin/build_icarus Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: bin/compile_test =================================================================== --- bin/compile_test (nonexistent) +++ bin/compile_test (revision 54) @@ -0,0 +1,33 @@ +#!/bin/bash +# +# Compile a test for the M1 and build the memory.hex file. +# +# Parameter is test name without extension (e.g. to compile +# $M1_ROOT/tests/hello.c) run "compile_test hello". +# +# Requires mipsel-linux-gcc (see Download section on srisc.com). + +cd $M1_ROOT/tests +rm -f *.o *~ *.bin # Make clean +if ( test $# != 1 ) then + echo "compile_test - Script to compile a test for the M1 Core"; + echo "(C) 2006 by Simply RISC"; + echo "Usage:"; + echo " compile_test "; + echo "where parameter is the test name without extension (e.g. 'hello')."; + exit 1; +fi +if ( ! test -e $1.c ) then + echo "ERROR: Test $1.c does not exist into the tests directory!"; + exit 1; +fi + +mipsel-linux-gcc -c -O0 -mips1 $M1_ROOT/tools/src/boot.s +mipsel-linux-gcc -c -O0 -mips1 $1.c +mipsel-linux-ld -Ur -EL -o $1.bin boot.o $1.o +mipsel-linux-objdump -d -EL -w -z $1.bin > $1.dump +cat $1.dump | dump2hex.php -q > $1.hex +cp -f $1.hex code.txt + +rm -f *.o *~ *.bin # Make clean +
bin/compile_test Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: bin/run_icarus =================================================================== --- bin/run_icarus (nonexistent) +++ bin/run_icarus (revision 54) @@ -0,0 +1,7 @@ +#!/bin/bash + +cd $M1_ROOT/run/sim/icarus +ln -f -s ../../../tests/code.txt . +./testbench 2>&1 | tee sim.log + +
bin/run_icarus Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: bin/build_xst =================================================================== --- bin/build_xst (nonexistent) +++ bin/build_xst (revision 54) @@ -0,0 +1,8 @@ +#!/bin/bash + +rm -rf $M1_ROOT/run/synth/xst +mkdir $M1_ROOT/run/synth/xst +cd $M1_ROOT/run/synth/xst +xst -ifn $FILELIST_XST 2>&1 | tee synth.log + +
bin/build_xst Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.