OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /m1_core/trunk/hdl/behav/testbench
    from Rev 54 to Rev 64
    Reverse comparison

Rev 54 → Rev 64

/testbench.v
1,5 → 1,5
/*
* Simply RISC M1 Core Testbench
* M1 Core Testbench
*/
 
`include "ddr_include.v"
120,7 → 120,7
initial begin
 
// Display start message
$display("INFO: TBENCH(%m): Starting Simply RISC M1 Core simulation...");
$display("INFO: TBENCH(%m): Starting M1 Core simulation...");
 
// Create VCD trace file
$dumpfile("trace.vcd");
132,7 → 132,7
#1000
sys_reset <= 0;
#99000
$display("INFO: TBENCH(%m): Completed Simply RISC M1 Core simulation!");
$display("INFO: TBENCH(%m): Completed M1 Core simulation!");
$finish;
 
end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.