URL
https://opencores.org/ocsvn/mips789/mips789/trunk
Subversion Repositories mips789
Compare Revisions
- This comparison shows the changes necessary to convert path
/mips789/tags/arelease/rtl/verilog/altera
- from Rev 36 to Rev 51
- ↔ Reverse comparison
Rev 36 → Rev 51
/pll40.v
0,0 → 1,198
// megafunction wizard: %ALTPLL% |
// GENERATION: STANDARD |
// VERSION: WM1.0 |
// MODULE: altpll |
|
// ============================================================ |
// File Name: pll40.v |
// Megafunction Name(s): |
// altpll |
// ============================================================ |
// ************************************************************ |
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! |
// |
// 4.2 Build 157 12/07/2004 SJ Full Version |
// ************************************************************ |
|
|
//Copyright (C) 1991-2004 Altera Corporation |
//Any megafunction design, and related netlist (encrypted or decrypted), |
//support information, device programming or simulation file, and any other |
//associated documentation or information provided by Altera or a partner |
//under Altera's Megafunction Partnership Program may be used only |
//to program PLD devices (but not masked PLD devices) from Altera. Any |
//other use of such megafunction design, netlist, support information, |
//device programming or simulation file, or any other related documentation |
//or information is prohibited for any other purpose, including, but not |
//limited to modification, reverse engineering, de-compiling, or use with |
//any other silicon devices, unless such use is explicitly licensed under |
//a separate agreement with Altera or a megafunction partner. Title to the |
//intellectual property, including patents, copyrights, trademarks, trade |
//secrets, or maskworks, embodied in any such megafunction design, netlist, |
//support information, device programming or simulation file, or any other |
//related documentation or information provided by Altera or a megafunction |
//partner, remains with Altera, the megafunction partner, or their respective |
//licensors. No other licenses, including any licenses needed under any third |
//party's intellectual property, are provided herein. |
|
|
// synopsys translate_off |
`timescale 1 ps / 1 ps |
// synopsys translate_on |
module pll40 ( |
inclk0, |
c0); |
|
input inclk0; |
output c0; |
|
wire [5:0] sub_wire0; |
wire [0:0] sub_wire4 = 1'h0; |
wire [0:0] sub_wire1 = sub_wire0[0:0]; |
wire c0 = sub_wire1; |
wire sub_wire2 = inclk0; |
wire [1:0] sub_wire3 = {sub_wire4, sub_wire2}; |
|
altpll altpll_component ( |
.inclk (sub_wire3), |
.clk (sub_wire0) |
// synopsys translate_off |
, |
.activeclock (), |
.areset (), |
.clkbad (), |
.clkena (), |
.clkloss (), |
.clkswitch (), |
.enable0 (), |
.enable1 (), |
.extclk (), |
.extclkena (), |
.fbin (), |
.locked (), |
.pfdena (), |
.pllena (), |
.scanaclr (), |
.scanclk (), |
.scandata (), |
.scandataout (), |
.scandone (), |
.scanread (), |
.scanwrite (), |
.sclkout0 (), |
.sclkout1 () |
// synopsys translate_on |
); |
defparam |
altpll_component.clk0_duty_cycle = 50, |
altpll_component.lpm_type = "altpll", |
altpll_component.clk0_multiply_by = 8, |
altpll_component.inclk0_input_frequency = 40000, |
altpll_component.clk0_divide_by = 5, |
altpll_component.pll_type = "AUTO", |
altpll_component.intended_device_family = "Cyclone", |
altpll_component.operation_mode = "NORMAL", |
altpll_component.compensate_clock = "CLK0", |
altpll_component.clk0_phase_shift = "0"; |
|
|
endmodule |
|
// ============================================================ |
// CNX file retrieval info |
// ============================================================ |
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" |
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" |
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" |
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" |
// Retrieval info: PRIVATE: SPREAD_USE STRING "0" |
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" |
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" |
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" |
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" |
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" |
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" |
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1" |
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" |
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0" |
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" |
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" |
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" |
// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0" |
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any" |
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" |
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" |
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" |
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" |
// Retrieval info: PRIVATE: USE_CLK0 STRING "1" |
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" |
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" |
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" |
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" |
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" |
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" |
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" |
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" |
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" |
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" |
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" |
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0" |
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" |
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" |
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" |
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" |
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" |
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" |
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" |
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "500.000" |
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" |
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" |
// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0" |
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "25.000" |
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" |
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" |
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "40.000" |
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" |
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" |
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" |
// Retrieval info: PRIVATE: DEV_FAMILY STRING "Cyclone" |
// Retrieval info: PRIVATE: LOCK_LOSS_SWITCHOVER_CHECK STRING "0" |
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" |
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" |
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" |
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" |
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" |
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" |
// Retrieval info: PRIVATE: DEVICE_FAMILY NUMERIC "11" |
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all |
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" |
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" |
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "8" |
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "40000" |
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "5" |
// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" |
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone" |
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" |
// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" |
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" |
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT VCC "c0" |
// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT VCC "@clk[5..0]" |
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT GND "inclk0" |
// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT VCC "@extclk[3..0]" |
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 |
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 |
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll40.v TRUE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll40.inc FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll40.cmp FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll40.bsf FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll40_inst.v FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll40_bb.v FALSE FALSE |
/pll50.v
0,0 → 1,198
// megafunction wizard: %ALTPLL% |
// GENERATION: STANDARD |
// VERSION: WM1.0 |
// MODULE: altpll |
|
// ============================================================ |
// File Name: pll50.v |
// Megafunction Name(s): |
// altpll |
// ============================================================ |
// ************************************************************ |
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! |
// |
// 4.2 Build 157 12/07/2004 SJ Full Version |
// ************************************************************ |
|
|
//Copyright (C) 1991-2004 Altera Corporation |
//Any megafunction design, and related netlist (encrypted or decrypted), |
//support information, device programming or simulation file, and any other |
//associated documentation or information provided by Altera or a partner |
//under Altera's Megafunction Partnership Program may be used only |
//to program PLD devices (but not masked PLD devices) from Altera. Any |
//other use of such megafunction design, netlist, support information, |
//device programming or simulation file, or any other related documentation |
//or information is prohibited for any other purpose, including, but not |
//limited to modification, reverse engineering, de-compiling, or use with |
//any other silicon devices, unless such use is explicitly licensed under |
//a separate agreement with Altera or a megafunction partner. Title to the |
//intellectual property, including patents, copyrights, trademarks, trade |
//secrets, or maskworks, embodied in any such megafunction design, netlist, |
//support information, device programming or simulation file, or any other |
//related documentation or information provided by Altera or a megafunction |
//partner, remains with Altera, the megafunction partner, or their respective |
//licensors. No other licenses, including any licenses needed under any third |
//party's intellectual property, are provided herein. |
|
|
// synopsys translate_off |
`timescale 1 ps / 1 ps |
// synopsys translate_on |
module pll50 ( |
inclk0, |
c0); |
|
input inclk0; |
output c0; |
|
wire [5:0] sub_wire0; |
wire [0:0] sub_wire4 = 1'h0; |
wire [0:0] sub_wire1 = sub_wire0[0:0]; |
wire c0 = sub_wire1; |
wire sub_wire2 = inclk0; |
wire [1:0] sub_wire3 = {sub_wire4, sub_wire2}; |
|
altpll altpll_component ( |
.inclk (sub_wire3), |
.clk (sub_wire0) |
// synopsys translate_off |
, |
.activeclock (), |
.areset (), |
.clkbad (), |
.clkena (), |
.clkloss (), |
.clkswitch (), |
.enable0 (), |
.enable1 (), |
.extclk (), |
.extclkena (), |
.fbin (), |
.locked (), |
.pfdena (), |
.pllena (), |
.scanaclr (), |
.scanclk (), |
.scandata (), |
.scandataout (), |
.scandone (), |
.scanread (), |
.scanwrite (), |
.sclkout0 (), |
.sclkout1 () |
// synopsys translate_on |
); |
defparam |
altpll_component.clk0_duty_cycle = 50, |
altpll_component.lpm_type = "altpll", |
altpll_component.clk0_multiply_by = 2, |
altpll_component.inclk0_input_frequency = 40000, |
altpll_component.clk0_divide_by = 1, |
altpll_component.pll_type = "AUTO", |
altpll_component.intended_device_family = "Cyclone", |
altpll_component.operation_mode = "NORMAL", |
altpll_component.compensate_clock = "CLK0", |
altpll_component.clk0_phase_shift = "0"; |
|
|
endmodule |
|
// ============================================================ |
// CNX file retrieval info |
// ============================================================ |
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" |
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" |
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" |
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" |
// Retrieval info: PRIVATE: SPREAD_USE STRING "0" |
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" |
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" |
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" |
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" |
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" |
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" |
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1" |
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" |
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0" |
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" |
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" |
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" |
// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0" |
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any" |
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" |
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" |
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" |
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" |
// Retrieval info: PRIVATE: USE_CLK0 STRING "1" |
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" |
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" |
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" |
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" |
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" |
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" |
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" |
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" |
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" |
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" |
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" |
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0" |
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" |
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" |
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" |
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" |
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" |
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" |
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" |
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "500.000" |
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" |
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" |
// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0" |
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "25.000" |
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" |
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" |
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "50.000" |
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" |
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" |
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" |
// Retrieval info: PRIVATE: DEV_FAMILY STRING "Cyclone" |
// Retrieval info: PRIVATE: LOCK_LOSS_SWITCHOVER_CHECK STRING "0" |
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" |
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" |
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" |
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" |
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" |
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" |
// Retrieval info: PRIVATE: DEVICE_FAMILY NUMERIC "11" |
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all |
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" |
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" |
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "2" |
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "40000" |
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1" |
// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" |
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone" |
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" |
// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" |
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" |
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT VCC "c0" |
// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT VCC "@clk[5..0]" |
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT GND "inclk0" |
// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT VCC "@extclk[3..0]" |
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 |
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 |
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll50.v TRUE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll50.inc FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll50.cmp FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll50.bsf FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll50_inst.v FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll50_bb.v FALSE FALSE |
/pll25.v
0,0 → 1,198
// megafunction wizard: %ALTPLL% |
// GENERATION: STANDARD |
// VERSION: WM1.0 |
// MODULE: altpll |
|
// ============================================================ |
// File Name: pll25.v |
// Megafunction Name(s): |
// altpll |
// ============================================================ |
// ************************************************************ |
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! |
// |
// 4.2 Build 157 12/07/2004 SJ Full Version |
// ************************************************************ |
|
|
//Copyright (C) 1991-2004 Altera Corporation |
//Any megafunction design, and related netlist (encrypted or decrypted), |
//support information, device programming or simulation file, and any other |
//associated documentation or information provided by Altera or a partner |
//under Altera's Megafunction Partnership Program may be used only |
//to program PLD devices (but not masked PLD devices) from Altera. Any |
//other use of such megafunction design, netlist, support information, |
//device programming or simulation file, or any other related documentation |
//or information is prohibited for any other purpose, including, but not |
//limited to modification, reverse engineering, de-compiling, or use with |
//any other silicon devices, unless such use is explicitly licensed under |
//a separate agreement with Altera or a megafunction partner. Title to the |
//intellectual property, including patents, copyrights, trademarks, trade |
//secrets, or maskworks, embodied in any such megafunction design, netlist, |
//support information, device programming or simulation file, or any other |
//related documentation or information provided by Altera or a megafunction |
//partner, remains with Altera, the megafunction partner, or their respective |
//licensors. No other licenses, including any licenses needed under any third |
//party's intellectual property, are provided herein. |
|
|
// synopsys translate_off |
`timescale 1 ps / 1 ps |
// synopsys translate_on |
module pll25 ( |
inclk0, |
c0); |
|
input inclk0; |
output c0; |
|
wire [5:0] sub_wire0; |
wire [0:0] sub_wire4 = 1'h0; |
wire [0:0] sub_wire1 = sub_wire0[0:0]; |
wire c0 = sub_wire1; |
wire sub_wire2 = inclk0; |
wire [1:0] sub_wire3 = {sub_wire4, sub_wire2}; |
|
altpll altpll_component ( |
.inclk (sub_wire3), |
.clk (sub_wire0) |
// synopsys translate_off |
, |
.activeclock (), |
.areset (), |
.clkbad (), |
.clkena (), |
.clkloss (), |
.clkswitch (), |
.enable0 (), |
.enable1 (), |
.extclk (), |
.extclkena (), |
.fbin (), |
.locked (), |
.pfdena (), |
.pllena (), |
.scanaclr (), |
.scanclk (), |
.scandata (), |
.scandataout (), |
.scandone (), |
.scanread (), |
.scanwrite (), |
.sclkout0 (), |
.sclkout1 () |
// synopsys translate_on |
); |
defparam |
altpll_component.clk0_duty_cycle = 50, |
altpll_component.lpm_type = "altpll", |
altpll_component.clk0_multiply_by = 1, |
altpll_component.inclk0_input_frequency = 40000, |
altpll_component.clk0_divide_by = 1, |
altpll_component.pll_type = "AUTO", |
altpll_component.intended_device_family = "Cyclone", |
altpll_component.operation_mode = "NORMAL", |
altpll_component.compensate_clock = "CLK0", |
altpll_component.clk0_phase_shift = "0"; |
|
|
endmodule |
|
// ============================================================ |
// CNX file retrieval info |
// ============================================================ |
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" |
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" |
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" |
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" |
// Retrieval info: PRIVATE: SPREAD_USE STRING "0" |
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" |
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" |
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" |
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" |
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" |
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" |
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1" |
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" |
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0" |
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" |
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" |
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" |
// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0" |
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any" |
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" |
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" |
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" |
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" |
// Retrieval info: PRIVATE: USE_CLK0 STRING "1" |
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" |
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" |
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" |
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" |
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" |
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" |
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" |
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" |
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" |
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" |
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" |
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0" |
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" |
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" |
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" |
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" |
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" |
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" |
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" |
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "500.000" |
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" |
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" |
// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0" |
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "25.000" |
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" |
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" |
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "25.000" |
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" |
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" |
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" |
// Retrieval info: PRIVATE: DEV_FAMILY STRING "Cyclone" |
// Retrieval info: PRIVATE: LOCK_LOSS_SWITCHOVER_CHECK STRING "0" |
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" |
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" |
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" |
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" |
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" |
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" |
// Retrieval info: PRIVATE: DEVICE_FAMILY NUMERIC "11" |
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all |
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" |
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" |
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1" |
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "40000" |
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1" |
// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" |
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone" |
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" |
// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" |
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" |
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT VCC "c0" |
// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT VCC "@clk[5..0]" |
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT GND "inclk0" |
// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT VCC "@extclk[3..0]" |
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 |
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 |
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll25.v TRUE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll25.inc FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll25.cmp FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll25.bsf FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll25_inst.v FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll25_bb.v FALSE FALSE |
/pll45.v
0,0 → 1,198
// megafunction wizard: %ALTPLL% |
// GENERATION: STANDARD |
// VERSION: WM1.0 |
// MODULE: altpll |
|
// ============================================================ |
// File Name: pll45.v |
// Megafunction Name(s): |
// altpll |
// ============================================================ |
// ************************************************************ |
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! |
// |
// 4.2 Build 157 12/07/2004 SJ Full Version |
// ************************************************************ |
|
|
//Copyright (C) 1991-2004 Altera Corporation |
//Any megafunction design, and related netlist (encrypted or decrypted), |
//support information, device programming or simulation file, and any other |
//associated documentation or information provided by Altera or a partner |
//under Altera's Megafunction Partnership Program may be used only |
//to program PLD devices (but not masked PLD devices) from Altera. Any |
//other use of such megafunction design, netlist, support information, |
//device programming or simulation file, or any other related documentation |
//or information is prohibited for any other purpose, including, but not |
//limited to modification, reverse engineering, de-compiling, or use with |
//any other silicon devices, unless such use is explicitly licensed under |
//a separate agreement with Altera or a megafunction partner. Title to the |
//intellectual property, including patents, copyrights, trademarks, trade |
//secrets, or maskworks, embodied in any such megafunction design, netlist, |
//support information, device programming or simulation file, or any other |
//related documentation or information provided by Altera or a megafunction |
//partner, remains with Altera, the megafunction partner, or their respective |
//licensors. No other licenses, including any licenses needed under any third |
//party's intellectual property, are provided herein. |
|
|
// synopsys translate_off |
`timescale 1 ps / 1 ps |
// synopsys translate_on |
module pll45 ( |
inclk0, |
c0); |
|
input inclk0; |
output c0; |
|
wire [5:0] sub_wire0; |
wire [0:0] sub_wire4 = 1'h0; |
wire [0:0] sub_wire1 = sub_wire0[0:0]; |
wire c0 = sub_wire1; |
wire sub_wire2 = inclk0; |
wire [1:0] sub_wire3 = {sub_wire4, sub_wire2}; |
|
altpll altpll_component ( |
.inclk (sub_wire3), |
.clk (sub_wire0) |
// synopsys translate_off |
, |
.activeclock (), |
.areset (), |
.clkbad (), |
.clkena (), |
.clkloss (), |
.clkswitch (), |
.enable0 (), |
.enable1 (), |
.extclk (), |
.extclkena (), |
.fbin (), |
.locked (), |
.pfdena (), |
.pllena (), |
.scanaclr (), |
.scanclk (), |
.scandata (), |
.scandataout (), |
.scandone (), |
.scanread (), |
.scanwrite (), |
.sclkout0 (), |
.sclkout1 () |
// synopsys translate_on |
); |
defparam |
altpll_component.clk0_duty_cycle = 50, |
altpll_component.lpm_type = "altpll", |
altpll_component.clk0_multiply_by = 1, |
altpll_component.inclk0_input_frequency = 40000, |
altpll_component.clk0_divide_by = 1, |
altpll_component.pll_type = "AUTO", |
altpll_component.intended_device_family = "Cyclone", |
altpll_component.operation_mode = "NORMAL", |
altpll_component.compensate_clock = "CLK0", |
altpll_component.clk0_phase_shift = "0"; |
|
|
endmodule |
|
// ============================================================ |
// CNX file retrieval info |
// ============================================================ |
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" |
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" |
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" |
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" |
// Retrieval info: PRIVATE: SPREAD_USE STRING "0" |
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" |
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" |
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" |
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" |
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" |
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" |
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" |
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" |
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0" |
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" |
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" |
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" |
// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0" |
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any" |
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" |
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" |
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" |
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" |
// Retrieval info: PRIVATE: USE_CLK0 STRING "1" |
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" |
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" |
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" |
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" |
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" |
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" |
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" |
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" |
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" |
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" |
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" |
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0" |
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" |
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" |
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" |
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" |
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" |
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" |
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" |
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "500.000" |
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" |
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" |
// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0" |
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "25.000" |
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" |
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" |
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.000" |
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" |
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" |
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" |
// Retrieval info: PRIVATE: DEV_FAMILY STRING "Cyclone" |
// Retrieval info: PRIVATE: LOCK_LOSS_SWITCHOVER_CHECK STRING "0" |
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" |
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" |
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" |
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" |
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" |
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" |
// Retrieval info: PRIVATE: DEVICE_FAMILY NUMERIC "11" |
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all |
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" |
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" |
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1" |
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "40000" |
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1" |
// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" |
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone" |
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" |
// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" |
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" |
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT VCC "c0" |
// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT VCC "@clk[5..0]" |
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT GND "inclk0" |
// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT VCC "@extclk[3..0]" |
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 |
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 |
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll45.v TRUE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll45.inc FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll45.cmp FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll45.bsf FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll45_inst.v FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll45_bb.v FALSE FALSE |
/pll75.v
0,0 → 1,198
// megafunction wizard: %ALTPLL% |
// GENERATION: STANDARD |
// VERSION: WM1.0 |
// MODULE: altpll |
|
// ============================================================ |
// File Name: pll75.v |
// Megafunction Name(s): |
// altpll |
// ============================================================ |
// ************************************************************ |
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! |
// |
// 4.2 Build 157 12/07/2004 SJ Full Version |
// ************************************************************ |
|
|
//Copyright (C) 1991-2004 Altera Corporation |
//Any megafunction design, and related netlist (encrypted or decrypted), |
//support information, device programming or simulation file, and any other |
//associated documentation or information provided by Altera or a partner |
//under Altera's Megafunction Partnership Program may be used only |
//to program PLD devices (but not masked PLD devices) from Altera. Any |
//other use of such megafunction design, netlist, support information, |
//device programming or simulation file, or any other related documentation |
//or information is prohibited for any other purpose, including, but not |
//limited to modification, reverse engineering, de-compiling, or use with |
//any other silicon devices, unless such use is explicitly licensed under |
//a separate agreement with Altera or a megafunction partner. Title to the |
//intellectual property, including patents, copyrights, trademarks, trade |
//secrets, or maskworks, embodied in any such megafunction design, netlist, |
//support information, device programming or simulation file, or any other |
//related documentation or information provided by Altera or a megafunction |
//partner, remains with Altera, the megafunction partner, or their respective |
//licensors. No other licenses, including any licenses needed under any third |
//party's intellectual property, are provided herein. |
|
|
// synopsys translate_off |
`timescale 1 ps / 1 ps |
// synopsys translate_on |
module pll75 ( |
inclk0, |
c0); |
|
input inclk0; |
output c0; |
|
wire [5:0] sub_wire0; |
wire [0:0] sub_wire4 = 1'h0; |
wire [0:0] sub_wire1 = sub_wire0[0:0]; |
wire c0 = sub_wire1; |
wire sub_wire2 = inclk0; |
wire [1:0] sub_wire3 = {sub_wire4, sub_wire2}; |
|
altpll altpll_component ( |
.inclk (sub_wire3), |
.clk (sub_wire0) |
// synopsys translate_off |
, |
.activeclock (), |
.areset (), |
.clkbad (), |
.clkena (), |
.clkloss (), |
.clkswitch (), |
.enable0 (), |
.enable1 (), |
.extclk (), |
.extclkena (), |
.fbin (), |
.locked (), |
.pfdena (), |
.pllena (), |
.scanaclr (), |
.scanclk (), |
.scandata (), |
.scandataout (), |
.scandone (), |
.scanread (), |
.scanwrite (), |
.sclkout0 (), |
.sclkout1 () |
// synopsys translate_on |
); |
defparam |
altpll_component.clk0_duty_cycle = 50, |
altpll_component.lpm_type = "altpll", |
altpll_component.clk0_multiply_by = 1, |
altpll_component.inclk0_input_frequency = 10000, |
altpll_component.clk0_divide_by = 1, |
altpll_component.pll_type = "AUTO", |
altpll_component.intended_device_family = "Cyclone", |
altpll_component.operation_mode = "NORMAL", |
altpll_component.compensate_clock = "CLK0", |
altpll_component.clk0_phase_shift = "0"; |
|
|
endmodule |
|
// ============================================================ |
// CNX file retrieval info |
// ============================================================ |
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" |
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" |
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" |
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" |
// Retrieval info: PRIVATE: SPREAD_USE STRING "0" |
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" |
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" |
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" |
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" |
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" |
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" |
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" |
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" |
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0" |
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" |
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" |
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" |
// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0" |
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any" |
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" |
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" |
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" |
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" |
// Retrieval info: PRIVATE: USE_CLK0 STRING "1" |
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" |
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" |
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" |
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" |
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" |
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" |
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" |
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" |
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" |
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" |
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" |
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0" |
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" |
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" |
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" |
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" |
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" |
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" |
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" |
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "500.000" |
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" |
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" |
// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0" |
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "100.000" |
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" |
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" |
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.000" |
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" |
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" |
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" |
// Retrieval info: PRIVATE: DEV_FAMILY STRING "Cyclone" |
// Retrieval info: PRIVATE: LOCK_LOSS_SWITCHOVER_CHECK STRING "0" |
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" |
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" |
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" |
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" |
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" |
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" |
// Retrieval info: PRIVATE: DEVICE_FAMILY NUMERIC "11" |
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all |
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" |
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" |
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1" |
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "10000" |
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1" |
// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" |
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone" |
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" |
// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" |
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" |
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT VCC "c0" |
// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT VCC "@clk[5..0]" |
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT GND "inclk0" |
// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT VCC "@extclk[3..0]" |
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 |
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 |
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll75.v TRUE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll75.inc FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll75.cmp FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll75.bsf FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll75_inst.v FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll75_bb.v FALSE FALSE |
/mips_top.v
0,0 → 1,89
module mips_top ( |
input clk, |
input rst, |
input ser_rxd, |
output ser_txd, |
output [6:0]seg7led1, |
output [6:0]seg7led2, |
output [7:0] lcd_data, |
output lcd_rs, |
output lcd_rw, |
output lcd_en, |
output led1, |
output led2, |
input key1, |
input key2 |
); |
|
wire [31:0] data2core; |
wire [31:0] data2mem; |
wire [31:0] ins2core; |
wire [31:0] mem_Addr; |
wire [31:0] pc; |
wire [3:0] wr_en; |
wire CLK; |
reg r_rst; |
|
|
//wire sys_rst=rst; |
always @(posedge CLK) |
if (rst) r_rst<=1'b1; else r_rst<=1'b0; |
//wire sys_rst = r_rst; |
|
reg rr_rst; |
always @(posedge CLK) |
rr_rst<=r_rst; |
|
wire sys_rst = rr_rst; |
|
//assign CLK = clk; |
|
pll50 Ipll( |
.inclk0(clk), |
.c0(CLK) |
); |
|
mem_array ram_8k |
( |
.clk(CLK), |
.din(data2mem), |
.dout(data2core), |
.ins_o(ins2core), |
.pc_i(pc), |
.rd_addr_i(mem_Addr), |
.wr_addr_i(mem_Addr), |
.wren(wr_en) |
); |
|
mips_sys isys |
( |
|
.zz_addr_o(mem_Addr), |
.zz_din(data2core), |
.zz_dout(data2mem), |
.zz_ins_i(ins2core), |
.zz_pc_o(pc), |
.zz_wr_en_o(wr_en), |
|
.clk(CLK), |
.rst(sys_rst), |
|
.ser_rxd(ser_rxd), |
.ser_txd(ser_txd), |
|
.seg7led1(seg7led1), |
.seg7led2(seg7led2), |
|
.lcd_data(lcd_data), |
.lcd_rs(lcd_rs), |
.lcd_rw(lcd_rw), |
.lcd_en(lcd_en), |
|
.led1(led1), |
.led2(led2), |
|
.key1(key1), |
.key2(key2) |
); |
|
endmodule |
/ram2048x8_0.v
0,0 → 1,240
// megafunction wizard: %RAM: 2-PORT% |
// GENERATION: STANDARD |
// VERSION: WM1.0 |
// MODULE: altsyncram |
|
// ============================================================ |
// File Name: ram2048x8_0.v |
// Megafunction Name(s): |
// altsyncram |
// ============================================================ |
// ************************************************************ |
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! |
// |
// 4.2 Build 157 12/07/2004 SJ Full Version |
// ************************************************************ |
|
|
//Copyright (C) 1991-2004 Altera Corporation |
//Any megafunction design, and related netlist (encrypted or decrypted), |
//support information, device programming or simulation file, and any other |
//associated documentation or information provided by Altera or a partner |
//under Altera's Megafunction Partnership Program may be used only |
//to program PLD devices (but not masked PLD devices) from Altera. Any |
//other use of such megafunction design, netlist, support information, |
//device programming or simulation file, or any other related documentation |
//or information is prohibited for any other purpose, including, but not |
//limited to modification, reverse engineering, de-compiling, or use with |
//any other silicon devices, unless such use is explicitly licensed under |
//a separate agreement with Altera or a megafunction partner. Title to the |
//intellectual property, including patents, copyrights, trademarks, trade |
//secrets, or maskworks, embodied in any such megafunction design, netlist, |
//support information, device programming or simulation file, or any other |
//related documentation or information provided by Altera or a megafunction |
//partner, remains with Altera, the megafunction partner, or their respective |
//licensors. No other licenses, including any licenses needed under any third |
//party's intellectual property, are provided herein. |
|
|
// synopsys translate_off |
`timescale 1 ps / 1 ps |
// synopsys translate_on |
module ram2048x8_0 ( |
data_a, |
wren_a, |
address_a, |
data_b, |
address_b, |
wren_b, |
clock, |
q_a, |
q_b); |
|
input [7:0] data_a; |
input wren_a; |
input [10:0] address_a; |
input [7:0] data_b; |
input [10:0] address_b; |
input wren_b; |
input clock; |
output [7:0] q_a; |
output [7:0] q_b; |
|
wire [7:0] sub_wire0; |
wire [7:0] sub_wire1; |
wire [7:0] q_a = sub_wire0[7:0]; |
wire [7:0] q_b = sub_wire1[7:0]; |
|
altsyncram altsyncram_component ( |
.wren_a (wren_a), |
.clock0 (clock), |
.wren_b (wren_b), |
.address_a (address_a), |
.address_b (address_b), |
.data_a (data_a), |
.data_b (data_b), |
.q_a (sub_wire0), |
.q_b (sub_wire1) |
// synopsys translate_off |
, |
.aclr0 (), |
.aclr1 (), |
.addressstall_a (), |
.addressstall_b (), |
.byteena_a (), |
.byteena_b (), |
.clock1 (), |
.clocken0 (), |
.clocken1 (), |
.rden_b () |
// synopsys translate_on |
); |
defparam |
altsyncram_component.intended_device_family = "Cyclone", |
altsyncram_component.operation_mode = "BIDIR_DUAL_PORT", |
altsyncram_component.width_a = 8, |
altsyncram_component.widthad_a = 11, |
altsyncram_component.numwords_a = 2048, |
altsyncram_component.width_b = 8, |
altsyncram_component.widthad_b = 11, |
altsyncram_component.numwords_b = 2048, |
altsyncram_component.lpm_type = "altsyncram", |
altsyncram_component.width_byteena_a = 1, |
altsyncram_component.width_byteena_b = 1, |
altsyncram_component.outdata_reg_a = "UNREGISTERED", |
altsyncram_component.outdata_aclr_a = "NONE", |
altsyncram_component.outdata_reg_b = "UNREGISTERED", |
altsyncram_component.indata_aclr_a = "NONE", |
altsyncram_component.wrcontrol_aclr_a = "NONE", |
altsyncram_component.address_aclr_a = "NONE", |
altsyncram_component.indata_reg_b = "CLOCK0", |
altsyncram_component.address_reg_b = "CLOCK0", |
altsyncram_component.wrcontrol_wraddress_reg_b = "CLOCK0", |
altsyncram_component.indata_aclr_b = "NONE", |
altsyncram_component.wrcontrol_aclr_b = "NONE", |
altsyncram_component.address_aclr_b = "NONE", |
altsyncram_component.outdata_aclr_b = "NONE", |
altsyncram_component.read_during_write_mode_mixed_ports = "DONT_CARE", |
altsyncram_component.init_file = "qu2_ram0.mif"; |
|
|
endmodule |
|
// ============================================================ |
// CNX file retrieval info |
// ============================================================ |
// Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0" |
// Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3" |
// Retrieval info: PRIVATE: UseDPRAM NUMERIC "1" |
// Retrieval info: PRIVATE: VarWidth NUMERIC "0" |
// Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "8" |
// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8" |
// Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "8" |
// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8" |
// Retrieval info: PRIVATE: MEMSIZE NUMERIC "16384" |
// Retrieval info: PRIVATE: Clock NUMERIC "0" |
// Retrieval info: PRIVATE: rden NUMERIC "0" |
// Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0" |
// Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0" |
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" |
// Retrieval info: PRIVATE: Clock_A NUMERIC "0" |
// Retrieval info: PRIVATE: Clock_B NUMERIC "0" |
// Retrieval info: PRIVATE: REGdata NUMERIC "1" |
// Retrieval info: PRIVATE: REGwraddress NUMERIC "1" |
// Retrieval info: PRIVATE: REGwren NUMERIC "1" |
// Retrieval info: PRIVATE: REGrdaddress NUMERIC "0" |
// Retrieval info: PRIVATE: REGrren NUMERIC "0" |
// Retrieval info: PRIVATE: REGq NUMERIC "0" |
// Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1" |
// Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1" |
// Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0" |
// Retrieval info: PRIVATE: CLRdata NUMERIC "0" |
// Retrieval info: PRIVATE: CLRwren NUMERIC "0" |
// Retrieval info: PRIVATE: CLRwraddress NUMERIC "0" |
// Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0" |
// Retrieval info: PRIVATE: CLRrren NUMERIC "0" |
// Retrieval info: PRIVATE: CLRq NUMERIC "0" |
// Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0" |
// Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: enable NUMERIC "0" |
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" |
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" |
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0" |
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0" |
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" |
// Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0" |
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2" |
// Retrieval info: PRIVATE: BlankMemory NUMERIC "0" |
// Retrieval info: PRIVATE: MIFfilename STRING "qu2_ram0.mif" |
// Retrieval info: PRIVATE: UseLCs NUMERIC "0" |
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" |
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" |
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" |
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" |
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE" |
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone" |
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone" |
// Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT" |
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8" |
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "11" |
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "2048" |
// Retrieval info: CONSTANT: WIDTH_B NUMERIC "8" |
// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "11" |
// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "2048" |
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" |
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" |
// Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1" |
// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" |
// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" |
// Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED" |
// Retrieval info: CONSTANT: INDATA_ACLR_A STRING "NONE" |
// Retrieval info: CONSTANT: WRCONTROL_ACLR_A STRING "NONE" |
// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE" |
// Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK0" |
// Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0" |
// Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK0" |
// Retrieval info: CONSTANT: INDATA_ACLR_B STRING "NONE" |
// Retrieval info: CONSTANT: WRCONTROL_ACLR_B STRING "NONE" |
// Retrieval info: CONSTANT: ADDRESS_ACLR_B STRING "NONE" |
// Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE" |
// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "DONT_CARE" |
// Retrieval info: CONSTANT: INIT_FILE STRING "qu2_ram0.mif" |
// Retrieval info: USED_PORT: data_a 0 0 8 0 INPUT NODEFVAL data_a[7..0] |
// Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT VCC wren_a |
// Retrieval info: USED_PORT: q_a 0 0 8 0 OUTPUT NODEFVAL q_a[7..0] |
// Retrieval info: USED_PORT: q_b 0 0 8 0 OUTPUT NODEFVAL q_b[7..0] |
// Retrieval info: USED_PORT: address_a 0 0 11 0 INPUT NODEFVAL address_a[10..0] |
// Retrieval info: USED_PORT: data_b 0 0 8 0 INPUT NODEFVAL data_b[7..0] |
// Retrieval info: USED_PORT: address_b 0 0 11 0 INPUT NODEFVAL address_b[10..0] |
// Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT VCC wren_b |
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock |
// Retrieval info: CONNECT: @data_a 0 0 8 0 data_a 0 0 8 0 |
// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0 |
// Retrieval info: CONNECT: q_a 0 0 8 0 @q_a 0 0 8 0 |
// Retrieval info: CONNECT: q_b 0 0 8 0 @q_b 0 0 8 0 |
// Retrieval info: CONNECT: @address_a 0 0 11 0 address_a 0 0 11 0 |
// Retrieval info: CONNECT: @data_b 0 0 8 0 data_b 0 0 8 0 |
// Retrieval info: CONNECT: @address_b 0 0 11 0 address_b 0 0 11 0 |
// Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0 |
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 |
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_0.v FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_0.inc FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_0.cmp FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_0.bsf FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_0_inst.v FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_0_bb.v FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_0_waveforms.html FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_0_wave*.jpg FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_0.v TRUE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_0.inc FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_0.cmp FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_0.bsf FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_0_inst.v FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_0_bb.v TRUE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_0_waveforms.html TRUE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_0_wave*.jpg FALSE |
/ram2048x8_1.v
0,0 → 1,240
// megafunction wizard: %RAM: 2-PORT% |
// GENERATION: STANDARD |
// VERSION: WM1.0 |
// MODULE: altsyncram |
|
// ============================================================ |
// File Name: ram2048x8_1.v |
// Megafunction Name(s): |
// altsyncram |
// ============================================================ |
// ************************************************************ |
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! |
// |
// 4.2 Build 157 12/07/2004 SJ Full Version |
// ************************************************************ |
|
|
//Copyright (C) 1991-2004 Altera Corporation |
//Any megafunction design, and related netlist (encrypted or decrypted), |
//support information, device programming or simulation file, and any other |
//associated documentation or information provided by Altera or a partner |
//under Altera's Megafunction Partnership Program may be used only |
//to program PLD devices (but not masked PLD devices) from Altera. Any |
//other use of such megafunction design, netlist, support information, |
//device programming or simulation file, or any other related documentation |
//or information is prohibited for any other purpose, including, but not |
//limited to modification, reverse engineering, de-compiling, or use with |
//any other silicon devices, unless such use is explicitly licensed under |
//a separate agreement with Altera or a megafunction partner. Title to the |
//intellectual property, including patents, copyrights, trademarks, trade |
//secrets, or maskworks, embodied in any such megafunction design, netlist, |
//support information, device programming or simulation file, or any other |
//related documentation or information provided by Altera or a megafunction |
//partner, remains with Altera, the megafunction partner, or their respective |
//licensors. No other licenses, including any licenses needed under any third |
//party's intellectual property, are provided herein. |
|
|
// synopsys translate_off |
`timescale 1 ps / 1 ps |
// synopsys translate_on |
module ram2048x8_1 ( |
data_a, |
wren_a, |
address_a, |
data_b, |
address_b, |
wren_b, |
clock, |
q_a, |
q_b); |
|
input [7:0] data_a; |
input wren_a; |
input [10:0] address_a; |
input [7:0] data_b; |
input [10:0] address_b; |
input wren_b; |
input clock; |
output [7:0] q_a; |
output [7:0] q_b; |
|
wire [7:0] sub_wire0; |
wire [7:0] sub_wire1; |
wire [7:0] q_a = sub_wire0[7:0]; |
wire [7:0] q_b = sub_wire1[7:0]; |
|
altsyncram altsyncram_component ( |
.wren_a (wren_a), |
.clock0 (clock), |
.wren_b (wren_b), |
.address_a (address_a), |
.address_b (address_b), |
.data_a (data_a), |
.data_b (data_b), |
.q_a (sub_wire0), |
.q_b (sub_wire1) |
// synopsys translate_off |
, |
.aclr0 (), |
.aclr1 (), |
.addressstall_a (), |
.addressstall_b (), |
.byteena_a (), |
.byteena_b (), |
.clock1 (), |
.clocken0 (), |
.clocken1 (), |
.rden_b () |
// synopsys translate_on |
); |
defparam |
altsyncram_component.intended_device_family = "Cyclone", |
altsyncram_component.operation_mode = "BIDIR_DUAL_PORT", |
altsyncram_component.width_a = 8, |
altsyncram_component.widthad_a = 11, |
altsyncram_component.numwords_a = 2048, |
altsyncram_component.width_b = 8, |
altsyncram_component.widthad_b = 11, |
altsyncram_component.numwords_b = 2048, |
altsyncram_component.lpm_type = "altsyncram", |
altsyncram_component.width_byteena_a = 1, |
altsyncram_component.width_byteena_b = 1, |
altsyncram_component.outdata_reg_a = "UNREGISTERED", |
altsyncram_component.outdata_aclr_a = "NONE", |
altsyncram_component.outdata_reg_b = "UNREGISTERED", |
altsyncram_component.indata_aclr_a = "NONE", |
altsyncram_component.wrcontrol_aclr_a = "NONE", |
altsyncram_component.address_aclr_a = "NONE", |
altsyncram_component.indata_reg_b = "CLOCK0", |
altsyncram_component.address_reg_b = "CLOCK0", |
altsyncram_component.wrcontrol_wraddress_reg_b = "CLOCK0", |
altsyncram_component.indata_aclr_b = "NONE", |
altsyncram_component.wrcontrol_aclr_b = "NONE", |
altsyncram_component.address_aclr_b = "NONE", |
altsyncram_component.outdata_aclr_b = "NONE", |
altsyncram_component.read_during_write_mode_mixed_ports = "DONT_CARE", |
altsyncram_component.init_file = "qu2_ram1.mif"; |
|
|
endmodule |
|
// ============================================================ |
// CNX file retrieval info |
// ============================================================ |
// Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0" |
// Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3" |
// Retrieval info: PRIVATE: UseDPRAM NUMERIC "1" |
// Retrieval info: PRIVATE: VarWidth NUMERIC "0" |
// Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "8" |
// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8" |
// Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "8" |
// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8" |
// Retrieval info: PRIVATE: MEMSIZE NUMERIC "16384" |
// Retrieval info: PRIVATE: Clock NUMERIC "0" |
// Retrieval info: PRIVATE: rden NUMERIC "0" |
// Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0" |
// Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0" |
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" |
// Retrieval info: PRIVATE: Clock_A NUMERIC "0" |
// Retrieval info: PRIVATE: Clock_B NUMERIC "0" |
// Retrieval info: PRIVATE: REGdata NUMERIC "1" |
// Retrieval info: PRIVATE: REGwraddress NUMERIC "1" |
// Retrieval info: PRIVATE: REGwren NUMERIC "1" |
// Retrieval info: PRIVATE: REGrdaddress NUMERIC "0" |
// Retrieval info: PRIVATE: REGrren NUMERIC "0" |
// Retrieval info: PRIVATE: REGq NUMERIC "0" |
// Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1" |
// Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1" |
// Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0" |
// Retrieval info: PRIVATE: CLRdata NUMERIC "0" |
// Retrieval info: PRIVATE: CLRwren NUMERIC "0" |
// Retrieval info: PRIVATE: CLRwraddress NUMERIC "0" |
// Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0" |
// Retrieval info: PRIVATE: CLRrren NUMERIC "0" |
// Retrieval info: PRIVATE: CLRq NUMERIC "0" |
// Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0" |
// Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: enable NUMERIC "0" |
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" |
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" |
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0" |
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0" |
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" |
// Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0" |
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2" |
// Retrieval info: PRIVATE: BlankMemory NUMERIC "0" |
// Retrieval info: PRIVATE: MIFfilename STRING "qu2_ram1.mif" |
// Retrieval info: PRIVATE: UseLCs NUMERIC "0" |
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" |
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" |
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" |
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" |
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE" |
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone" |
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone" |
// Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT" |
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8" |
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "11" |
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "2048" |
// Retrieval info: CONSTANT: WIDTH_B NUMERIC "8" |
// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "11" |
// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "2048" |
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" |
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" |
// Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1" |
// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" |
// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" |
// Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED" |
// Retrieval info: CONSTANT: INDATA_ACLR_A STRING "NONE" |
// Retrieval info: CONSTANT: WRCONTROL_ACLR_A STRING "NONE" |
// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE" |
// Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK0" |
// Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0" |
// Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK0" |
// Retrieval info: CONSTANT: INDATA_ACLR_B STRING "NONE" |
// Retrieval info: CONSTANT: WRCONTROL_ACLR_B STRING "NONE" |
// Retrieval info: CONSTANT: ADDRESS_ACLR_B STRING "NONE" |
// Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE" |
// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "DONT_CARE" |
// Retrieval info: CONSTANT: INIT_FILE STRING "qu2_ram1.mif" |
// Retrieval info: USED_PORT: data_a 0 0 8 0 INPUT NODEFVAL data_a[7..0] |
// Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT VCC wren_a |
// Retrieval info: USED_PORT: q_a 0 0 8 0 OUTPUT NODEFVAL q_a[7..0] |
// Retrieval info: USED_PORT: q_b 0 0 8 0 OUTPUT NODEFVAL q_b[7..0] |
// Retrieval info: USED_PORT: address_a 0 0 11 0 INPUT NODEFVAL address_a[10..0] |
// Retrieval info: USED_PORT: data_b 0 0 8 0 INPUT NODEFVAL data_b[7..0] |
// Retrieval info: USED_PORT: address_b 0 0 11 0 INPUT NODEFVAL address_b[10..0] |
// Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT VCC wren_b |
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock |
// Retrieval info: CONNECT: @data_a 0 0 8 0 data_a 0 0 8 0 |
// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0 |
// Retrieval info: CONNECT: q_a 0 0 8 0 @q_a 0 0 8 0 |
// Retrieval info: CONNECT: q_b 0 0 8 0 @q_b 0 0 8 0 |
// Retrieval info: CONNECT: @address_a 0 0 11 0 address_a 0 0 11 0 |
// Retrieval info: CONNECT: @data_b 0 0 8 0 data_b 0 0 8 0 |
// Retrieval info: CONNECT: @address_b 0 0 11 0 address_b 0 0 11 0 |
// Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0 |
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 |
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_1.v FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_1.inc FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_1.cmp FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_1.bsf FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_1_inst.v FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_1_bb.v FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_1_waveforms.html FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4092x8_1_wave*.jpg FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_1.v TRUE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_1.inc FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_1.cmp FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_1.bsf FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_1_inst.v FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_1_bb.v TRUE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_1_waveforms.html TRUE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_1_wave*.jpg FALSE |
/pin_set.tcl
0,0 → 1,133
#EP1C3T144C8 Setup.tcl |
# Setup pin setting for evaluaton board V1.0 |
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED" |
set_global_assignment -name ENABLE_INIT_DONE_OUTPUT OFF |
|
set_location_assignment PIN_28 -to clk |
set_location_assignment PIN_159 -to rst |
set_location_assignment PIN_156 -to key1 |
set_location_assignment PIN_158 -to key2 |
|
set_location_assignment PIN_1 -to led1 |
set_location_assignment PIN_2 -to led2 |
set_location_assignment PIN_177 -to ser_rxd |
set_location_assignment PIN_176 -to ser_txd |
|
set_location_assignment PIN_135 -to lcd_en |
set_location_assignment PIN_133 -to lcd_rs |
set_location_assignment PIN_134 -to lcd_rw |
set_location_assignment PIN_136 -to lcd_data\[0\] |
set_location_assignment PIN_137 -to lcd_data\[1\] |
set_location_assignment PIN_138 -to lcd_data\[2\] |
set_location_assignment PIN_139 -to lcd_data\[3\] |
set_location_assignment PIN_140 -to lcd_data\[4\] |
set_location_assignment PIN_141 -to lcd_data\[5\] |
set_location_assignment PIN_143 -to lcd_data\[6\] |
set_location_assignment PIN_144 -to lcd_data\[7\] |
|
set_location_assignment PIN_169 -to seg7led1\[0\] |
set_location_assignment PIN_166 -to seg7led1\[1\] |
set_location_assignment PIN_161 -to seg7led1\[2\] |
set_location_assignment PIN_160 -to seg7led1\[3\] |
set_location_assignment PIN_164 -to seg7led1\[4\] |
set_location_assignment PIN_168 -to seg7led1\[5\] |
set_location_assignment PIN_167 -to seg7led1\[6\] |
set_location_assignment PIN_175 -to seg7led2\[0\] |
set_location_assignment PIN_170 -to seg7led2\[1\] |
set_location_assignment PIN_163 -to seg7led2\[2\] |
set_location_assignment PIN_165 -to seg7led2\[3\] |
set_location_assignment PIN_162 -to seg7led2\[4\] |
set_location_assignment PIN_174 -to seg7led2\[5\] |
set_location_assignment PIN_173 -to seg7led2\[6\] |
|
set_location_assignment PIN_128 -to uart_rxd_usb |
set_location_assignment PIN_131 -to uart_txd_usb |
|
set_location_assignment PIN_60 -to sd_data\[0\] |
set_location_assignment PIN_59 -to sd_data\[1\] |
set_location_assignment PIN_58 -to sd_data\[2\] |
set_location_assignment PIN_57 -to sd_data\[3\] |
set_location_assignment PIN_56 -to sd_data\[4\] |
set_location_assignment PIN_55 -to sd_data\[5\] |
set_location_assignment PIN_54 -to sd_data\[6\] |
set_location_assignment PIN_53 -to sd_data\[7\] |
set_location_assignment PIN_12 -to sd_data\[8\] |
set_location_assignment PIN_11 -to sd_data\[9\] |
set_location_assignment PIN_8 -to sd_data\[10\] |
set_location_assignment PIN_7 -to sd_data\[11\] |
set_location_assignment PIN_6 -to sd_data\[12\] |
set_location_assignment PIN_5 -to sd_data\[13\] |
set_location_assignment PIN_4 -to sd_data\[14\] |
set_location_assignment PIN_3 -to sd_data\[15\] |
|
set_location_assignment PIN_42 -to sd_addr\[0\] |
set_location_assignment PIN_41 -to sd_addr\[1\] |
set_location_assignment PIN_39 -to sd_addr\[2\] |
set_location_assignment PIN_38 -to sd_addr\[3\] |
set_location_assignment PIN_23 -to sd_addr\[4\] |
set_location_assignment PIN_21 -to sd_addr\[5\] |
set_location_assignment PIN_20 -to sd_addr\[6\] |
set_location_assignment PIN_19 -to sd_addr\[7\] |
set_location_assignment PIN_18 -to sd_addr\[8\] |
set_location_assignment PIN_17 -to sd_addr\[9\] |
set_location_assignment PIN_43 -to sd_addr\[10\] |
set_location_assignment PIN_16 -to sd_addr\[11\] |
|
set_location_assignment PIN_45 -to sd_ba\[0\] |
set_location_assignment PIN_44 -to sd_ba\[1\] |
|
set_location_assignment PIN_50 -to sd_dqm\[0\] |
set_location_assignment PIN_13 -to sd_dqm\[1\] |
|
set_location_assignment PIN_46 -to sd_cs |
set_location_assignment PIN_47 -to sd_ras |
set_location_assignment PIN_48 -to sd_cas |
set_location_assignment PIN_49 -to sd_we |
set_location_assignment PIN_15 -to sd_cke |
set_location_assignment PIN_14 -to sd_clk |
|
|
set_location_assignment PIN_208 -to FLASH_CE |
set_location_assignment PIN_213 -to FLASH_OE |
set_location_assignment PIN_206 -to FLASH_WE |
|
set_location_assignment PIN_196 -to FLASH_RESET |
set_location_assignment PIN_223 -to FLASH_BYTE |
|
set_location_assignment PIN_207 -to FLASH_ADDR\[0\] |
set_location_assignment PIN_181 -to FLASH_ADDR\[1\] |
set_location_assignment PIN_182 -to FLASH_ADDR\[2\] |
set_location_assignment PIN_183 -to FLASH_ADDR\[3\] |
set_location_assignment PIN_184 -to FLASH_ADDR\[4\] |
set_location_assignment PIN_185 -to FLASH_ADDR\[5\] |
set_location_assignment PIN_186 -to FLASH_ADDR\[6\] |
set_location_assignment PIN_187 -to FLASH_ADDR\[7\] |
set_location_assignment PIN_204 -to FLASH_ADDR\[8\] |
set_location_assignment PIN_203 -to FLASH_ADDR\[9\] |
set_location_assignment PIN_202 -to FLASH_ADDR\[10\] |
set_location_assignment PIN_201 -to FLASH_ADDR\[11\] |
set_location_assignment PIN_200 -to FLASH_ADDR\[12\] |
set_location_assignment PIN_199 -to FLASH_ADDR\[13\] |
set_location_assignment PIN_198 -to FLASH_ADDR\[14\] |
set_location_assignment PIN_197 -to FLASH_ADDR\[15\] |
set_location_assignment PIN_222 -to FLASH_ADDR\[16\] |
set_location_assignment PIN_188 -to FLASH_ADDR\[17\] |
set_location_assignment PIN_193 -to FLASH_ADDR\[18\] |
set_location_assignment PIN_205 -to FLASH_ADDR\[19\] |
|
set_location_assignment PIN_214 -to FLASH_DQ\[0\] |
set_location_assignment PIN_216 -to FLASH_DQ\[1\] |
set_location_assignment PIN_218 -to FLASH_DQ\[2\] |
set_location_assignment PIN_220 -to FLASH_DQ\[3\] |
set_location_assignment PIN_235 -to FLASH_DQ\[4\] |
set_location_assignment PIN_233 -to FLASH_DQ\[5\] |
set_location_assignment PIN_227 -to FLASH_DQ\[6\] |
set_location_assignment PIN_225 -to FLASH_DQ\[7\] |
set_location_assignment PIN_215 -to FLASH_DQ\[8\] |
set_location_assignment PIN_217 -to FLASH_DQ\[9\] |
set_location_assignment PIN_219 -to FLASH_DQ\[10\] |
set_location_assignment PIN_221 -to FLASH_DQ\[11\] |
set_location_assignment PIN_234 -to FLASH_DQ\[12\] |
set_location_assignment PIN_228 -to FLASH_DQ\[13\] |
set_location_assignment PIN_226 -to FLASH_DQ\[14\] |
set_location_assignment PIN_224 -to FLASH_DQ\[15\] |
/ram2048x8_2.v
0,0 → 1,232
// megafunction wizard: %RAM: 2-PORT% |
// GENERATION: STANDARD |
// VERSION: WM1.0 |
// MODULE: altsyncram |
|
// ============================================================ |
// File Name: ram2048x8_2.v |
// Megafunction Name(s): |
// altsyncram |
// ============================================================ |
// ************************************************************ |
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! |
// |
// 4.2 Build 157 12/07/2004 SJ Full Version |
// ************************************************************ |
|
|
//Copyright (C) 1991-2004 Altera Corporation |
//Any megafunction design, and related netlist (encrypted or decrypted), |
//support information, device programming or simulation file, and any other |
//associated documentation or information provided by Altera or a partner |
//under Altera's Megafunction Partnership Program may be used only |
//to program PLD devices (but not masked PLD devices) from Altera. Any |
//other use of such megafunction design, netlist, support information, |
//device programming or simulation file, or any other related documentation |
//or information is prohibited for any other purpose, including, but not |
//limited to modification, reverse engineering, de-compiling, or use with |
//any other silicon devices, unless such use is explicitly licensed under |
//a separate agreement with Altera or a megafunction partner. Title to the |
//intellectual property, including patents, copyrights, trademarks, trade |
//secrets, or maskworks, embodied in any such megafunction design, netlist, |
//support information, device programming or simulation file, or any other |
//related documentation or information provided by Altera or a megafunction |
//partner, remains with Altera, the megafunction partner, or their respective |
//licensors. No other licenses, including any licenses needed under any third |
//party's intellectual property, are provided herein. |
|
|
// synopsys translate_off |
`timescale 1 ps / 1 ps |
// synopsys translate_on |
module ram2048x8_2 ( |
data_a, |
wren_a, |
address_a, |
data_b, |
address_b, |
wren_b, |
clock, |
q_a, |
q_b); |
|
input [7:0] data_a; |
input wren_a; |
input [10:0] address_a; |
input [7:0] data_b; |
input [10:0] address_b; |
input wren_b; |
input clock; |
output [7:0] q_a; |
output [7:0] q_b; |
|
wire [7:0] sub_wire0; |
wire [7:0] sub_wire1; |
wire [7:0] q_a = sub_wire0[7:0]; |
wire [7:0] q_b = sub_wire1[7:0]; |
|
altsyncram altsyncram_component ( |
.wren_a (wren_a), |
.clock0 (clock), |
.wren_b (wren_b), |
.address_a (address_a), |
.address_b (address_b), |
.data_a (data_a), |
.data_b (data_b), |
.q_a (sub_wire0), |
.q_b (sub_wire1) |
// synopsys translate_off |
, |
.aclr0 (), |
.aclr1 (), |
.addressstall_a (), |
.addressstall_b (), |
.byteena_a (), |
.byteena_b (), |
.clock1 (), |
.clocken0 (), |
.clocken1 (), |
.rden_b () |
// synopsys translate_on |
); |
defparam |
altsyncram_component.intended_device_family = "Cyclone", |
altsyncram_component.operation_mode = "BIDIR_DUAL_PORT", |
altsyncram_component.width_a = 8, |
altsyncram_component.widthad_a = 11, |
altsyncram_component.numwords_a = 2048, |
altsyncram_component.width_b = 8, |
altsyncram_component.widthad_b = 11, |
altsyncram_component.numwords_b = 2048, |
altsyncram_component.lpm_type = "altsyncram", |
altsyncram_component.width_byteena_a = 1, |
altsyncram_component.width_byteena_b = 1, |
altsyncram_component.outdata_reg_a = "UNREGISTERED", |
altsyncram_component.outdata_aclr_a = "NONE", |
altsyncram_component.outdata_reg_b = "UNREGISTERED", |
altsyncram_component.indata_aclr_a = "NONE", |
altsyncram_component.wrcontrol_aclr_a = "NONE", |
altsyncram_component.address_aclr_a = "NONE", |
altsyncram_component.indata_reg_b = "CLOCK0", |
altsyncram_component.address_reg_b = "CLOCK0", |
altsyncram_component.wrcontrol_wraddress_reg_b = "CLOCK0", |
altsyncram_component.indata_aclr_b = "NONE", |
altsyncram_component.wrcontrol_aclr_b = "NONE", |
altsyncram_component.address_aclr_b = "NONE", |
altsyncram_component.outdata_aclr_b = "NONE", |
altsyncram_component.read_during_write_mode_mixed_ports = "DONT_CARE", |
altsyncram_component.init_file = "qu2_ram2.mif"; |
|
|
endmodule |
|
// ============================================================ |
// CNX file retrieval info |
// ============================================================ |
// Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0" |
// Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3" |
// Retrieval info: PRIVATE: UseDPRAM NUMERIC "1" |
// Retrieval info: PRIVATE: VarWidth NUMERIC "0" |
// Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "8" |
// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8" |
// Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "8" |
// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8" |
// Retrieval info: PRIVATE: MEMSIZE NUMERIC "16384" |
// Retrieval info: PRIVATE: Clock NUMERIC "0" |
// Retrieval info: PRIVATE: rden NUMERIC "0" |
// Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0" |
// Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0" |
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" |
// Retrieval info: PRIVATE: Clock_A NUMERIC "0" |
// Retrieval info: PRIVATE: Clock_B NUMERIC "0" |
// Retrieval info: PRIVATE: REGdata NUMERIC "1" |
// Retrieval info: PRIVATE: REGwraddress NUMERIC "1" |
// Retrieval info: PRIVATE: REGwren NUMERIC "1" |
// Retrieval info: PRIVATE: REGrdaddress NUMERIC "0" |
// Retrieval info: PRIVATE: REGrren NUMERIC "0" |
// Retrieval info: PRIVATE: REGq NUMERIC "0" |
// Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1" |
// Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1" |
// Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0" |
// Retrieval info: PRIVATE: CLRdata NUMERIC "0" |
// Retrieval info: PRIVATE: CLRwren NUMERIC "0" |
// Retrieval info: PRIVATE: CLRwraddress NUMERIC "0" |
// Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0" |
// Retrieval info: PRIVATE: CLRrren NUMERIC "0" |
// Retrieval info: PRIVATE: CLRq NUMERIC "0" |
// Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0" |
// Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: enable NUMERIC "0" |
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" |
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" |
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0" |
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0" |
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" |
// Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0" |
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2" |
// Retrieval info: PRIVATE: BlankMemory NUMERIC "0" |
// Retrieval info: PRIVATE: MIFfilename STRING "qu2_ram2.mif" |
// Retrieval info: PRIVATE: UseLCs NUMERIC "0" |
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" |
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" |
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" |
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" |
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE" |
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone" |
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone" |
// Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT" |
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8" |
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "11" |
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "2048" |
// Retrieval info: CONSTANT: WIDTH_B NUMERIC "8" |
// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "11" |
// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "2048" |
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" |
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" |
// Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1" |
// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" |
// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" |
// Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED" |
// Retrieval info: CONSTANT: INDATA_ACLR_A STRING "NONE" |
// Retrieval info: CONSTANT: WRCONTROL_ACLR_A STRING "NONE" |
// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE" |
// Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK0" |
// Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0" |
// Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK0" |
// Retrieval info: CONSTANT: INDATA_ACLR_B STRING "NONE" |
// Retrieval info: CONSTANT: WRCONTROL_ACLR_B STRING "NONE" |
// Retrieval info: CONSTANT: ADDRESS_ACLR_B STRING "NONE" |
// Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE" |
// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "DONT_CARE" |
// Retrieval info: CONSTANT: INIT_FILE STRING "qu2_ram2.mif" |
// Retrieval info: USED_PORT: data_a 0 0 8 0 INPUT NODEFVAL data_a[7..0] |
// Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT VCC wren_a |
// Retrieval info: USED_PORT: q_a 0 0 8 0 OUTPUT NODEFVAL q_a[7..0] |
// Retrieval info: USED_PORT: q_b 0 0 8 0 OUTPUT NODEFVAL q_b[7..0] |
// Retrieval info: USED_PORT: address_a 0 0 11 0 INPUT NODEFVAL address_a[10..0] |
// Retrieval info: USED_PORT: data_b 0 0 8 0 INPUT NODEFVAL data_b[7..0] |
// Retrieval info: USED_PORT: address_b 0 0 11 0 INPUT NODEFVAL address_b[10..0] |
// Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT VCC wren_b |
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock |
// Retrieval info: CONNECT: @data_a 0 0 8 0 data_a 0 0 8 0 |
// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0 |
// Retrieval info: CONNECT: q_a 0 0 8 0 @q_a 0 0 8 0 |
// Retrieval info: CONNECT: q_b 0 0 8 0 @q_b 0 0 8 0 |
// Retrieval info: CONNECT: @address_a 0 0 11 0 address_a 0 0 11 0 |
// Retrieval info: CONNECT: @data_b 0 0 8 0 data_b 0 0 8 0 |
// Retrieval info: CONNECT: @address_b 0 0 11 0 address_b 0 0 11 0 |
// Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0 |
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 |
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_2.v TRUE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_2.inc FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_2.cmp FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_2.bsf FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_2_inst.v FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_2_bb.v TRUE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_2_waveforms.html TRUE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_2_wave*.jpg FALSE |
/mips_pll.v
0,0 → 1,204
// megafunction wizard: %ALTPLL% |
// GENERATION: STANDARD |
// VERSION: WM1.0 |
// MODULE: altpll |
|
// ============================================================ |
// File Name: pll45mhz.v |
// Megafunction Name(s): |
// altpll |
// ============================================================ |
// ************************************************************ |
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! |
// |
// 4.2 Build 157 12/07/2004 SJ Full Version |
// ************************************************************ |
|
|
//Copyright (C) 1991-2004 Altera Corporation |
//Any megafunction design, and related netlist (encrypted or decrypted), |
//support information, device programming or simulation file, and any other |
//associated documentation or information provided by Altera or a partner |
//under Altera's Megafunction Partnership Program may be used only |
//to program PLD devices (but not masked PLD devices) from Altera. Any |
//other use of such megafunction design, netlist, support information, |
//device programming or simulation file, or any other related documentation |
//or information is prohibited for any other purpose, including, but not |
//limited to modification, reverse engineering, de-compiling, or use with |
//any other silicon devices, unless such use is explicitly licensed under |
//a separate agreement with Altera or a megafunction partner. Title to the |
//intellectual property, including patents, copyrights, trademarks, trade |
//secrets, or maskworks, embodied in any such megafunction design, netlist, |
//support information, device programming or simulation file, or any other |
//related documentation or information provided by Altera or a megafunction |
//partner, remains with Altera, the megafunction partner, or their respective |
//licensors. No other licenses, including any licenses needed under any third |
//party's intellectual property, are provided herein. |
|
|
// synopsys translate_off |
`timescale 1 ps / 1 ps |
// synopsys translate_on |
module mips_pll ( |
inclk0, |
c0); |
|
input inclk0; |
output c0; |
|
wire [5:0] sub_wire0; |
wire [0:0] sub_wire4 = 1'h0; |
wire [0:0] sub_wire1 = sub_wire0[0:0]; |
wire c0 = sub_wire1; |
wire sub_wire2 = inclk0; |
wire [1:0] sub_wire3 = {sub_wire4, sub_wire2}; |
|
altpll altpll_component ( |
.inclk (sub_wire3), |
.clk (sub_wire0) |
// synopsys translate_off |
, |
.activeclock (), |
.areset (), |
.clkbad (), |
.clkena (), |
.clkloss (), |
.clkswitch (), |
.enable0 (), |
.enable1 (), |
.extclk (), |
.extclkena (), |
.fbin (), |
.locked (), |
.pfdena (), |
.pllena (), |
.scanaclr (), |
.scanclk (), |
.scandata (), |
.scandataout (), |
.scandone (), |
.scanread (), |
.scanwrite (), |
.sclkout0 (), |
.sclkout1 () |
// synopsys translate_on |
); |
defparam |
altpll_component.clk0_duty_cycle = 50, |
altpll_component.lpm_type = "altpll", |
altpll_component.clk0_multiply_by = 2, |
altpll_component.inclk0_input_frequency = 50000, |
altpll_component.clk0_divide_by = 1, |
altpll_component.pll_type = "AUTO", |
altpll_component.intended_device_family = "Cyclone", |
altpll_component.operation_mode = "NORMAL", |
altpll_component.compensate_clock = "CLK0", |
altpll_component.clk0_phase_shift = "0"; |
|
|
endmodule |
|
// ============================================================ |
// CNX file retrieval info |
// ============================================================ |
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" |
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" |
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" |
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" |
// Retrieval info: PRIVATE: SPREAD_USE STRING "0" |
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" |
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" |
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" |
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" |
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" |
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" |
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1" |
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" |
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0" |
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" |
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" |
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" |
// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0" |
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any" |
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" |
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" |
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" |
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" |
// Retrieval info: PRIVATE: USE_CLK0 STRING "1" |
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" |
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" |
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" |
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" |
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "25.000" |
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" |
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" |
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" |
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" |
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" |
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" |
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0" |
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" |
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" |
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" |
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" |
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" |
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" |
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" |
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "500.000" |
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1" |
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" |
// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0" |
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "25.000" |
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" |
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" |
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "40.000" |
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" |
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" |
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" |
// Retrieval info: PRIVATE: DEV_FAMILY STRING "Cyclone" |
// Retrieval info: PRIVATE: LOCK_LOSS_SWITCHOVER_CHECK STRING "0" |
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" |
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" |
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" |
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" |
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" |
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" |
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" |
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" |
// Retrieval info: PRIVATE: DEVICE_FAMILY NUMERIC "11" |
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all |
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" |
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" |
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "8" |
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "40000" |
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "5" |
// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" |
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone" |
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" |
// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" |
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" |
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT VCC "c0" |
// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT VCC "@clk[5..0]" |
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT GND "inclk0" |
// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT VCC "@extclk[3..0]" |
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 |
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 |
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll50mhz.v TRUE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll50mhz.inc FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll50mhz.cmp FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll50mhz.bsf FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll50mhz_inst.v FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll50mhz_bb.v FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll40mhz.v TRUE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll40mhz.inc FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll40mhz.cmp FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll40mhz.bsf FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll40mhz_inst.v FALSE FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL pll40mhz_bb.v TRUE FALSE |
/ram2048x8_3.v
0,0 → 1,232
// megafunction wizard: %RAM: 2-PORT% |
// GENERATION: STANDARD |
// VERSION: WM1.0 |
// MODULE: altsyncram |
|
// ============================================================ |
// File Name: ram2048x8_3.v |
// Megafunction Name(s): |
// altsyncram |
// ============================================================ |
// ************************************************************ |
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! |
// |
// 4.2 Build 157 12/07/2004 SJ Full Version |
// ************************************************************ |
|
|
//Copyright (C) 1991-2004 Altera Corporation |
//Any megafunction design, and related netlist (encrypted or decrypted), |
//support information, device programming or simulation file, and any other |
//associated documentation or information provided by Altera or a partner |
//under Altera's Megafunction Partnership Program may be used only |
//to program PLD devices (but not masked PLD devices) from Altera. Any |
//other use of such megafunction design, netlist, support information, |
//device programming or simulation file, or any other related documentation |
//or information is prohibited for any other purpose, including, but not |
//limited to modification, reverse engineering, de-compiling, or use with |
//any other silicon devices, unless such use is explicitly licensed under |
//a separate agreement with Altera or a megafunction partner. Title to the |
//intellectual property, including patents, copyrights, trademarks, trade |
//secrets, or maskworks, embodied in any such megafunction design, netlist, |
//support information, device programming or simulation file, or any other |
//related documentation or information provided by Altera or a megafunction |
//partner, remains with Altera, the megafunction partner, or their respective |
//licensors. No other licenses, including any licenses needed under any third |
//party's intellectual property, are provided herein. |
|
|
// synopsys translate_off |
`timescale 1 ps / 1 ps |
// synopsys translate_on |
module ram2048x8_3 ( |
data_a, |
wren_a, |
address_a, |
data_b, |
address_b, |
wren_b, |
clock, |
q_a, |
q_b); |
|
input [7:0] data_a; |
input wren_a; |
input [10:0] address_a; |
input [7:0] data_b; |
input [10:0] address_b; |
input wren_b; |
input clock; |
output [7:0] q_a; |
output [7:0] q_b; |
|
wire [7:0] sub_wire0; |
wire [7:0] sub_wire1; |
wire [7:0] q_a = sub_wire0[7:0]; |
wire [7:0] q_b = sub_wire1[7:0]; |
|
altsyncram altsyncram_component ( |
.wren_a (wren_a), |
.clock0 (clock), |
.wren_b (wren_b), |
.address_a (address_a), |
.address_b (address_b), |
.data_a (data_a), |
.data_b (data_b), |
.q_a (sub_wire0), |
.q_b (sub_wire1) |
// synopsys translate_off |
, |
.aclr0 (), |
.aclr1 (), |
.addressstall_a (), |
.addressstall_b (), |
.byteena_a (), |
.byteena_b (), |
.clock1 (), |
.clocken0 (), |
.clocken1 (), |
.rden_b () |
// synopsys translate_on |
); |
defparam |
altsyncram_component.intended_device_family = "Cyclone", |
altsyncram_component.operation_mode = "BIDIR_DUAL_PORT", |
altsyncram_component.width_a = 8, |
altsyncram_component.widthad_a = 11, |
altsyncram_component.numwords_a = 2048, |
altsyncram_component.width_b = 8, |
altsyncram_component.widthad_b = 11, |
altsyncram_component.numwords_b = 2048, |
altsyncram_component.lpm_type = "altsyncram", |
altsyncram_component.width_byteena_a = 1, |
altsyncram_component.width_byteena_b = 1, |
altsyncram_component.outdata_reg_a = "UNREGISTERED", |
altsyncram_component.outdata_aclr_a = "NONE", |
altsyncram_component.outdata_reg_b = "UNREGISTERED", |
altsyncram_component.indata_aclr_a = "NONE", |
altsyncram_component.wrcontrol_aclr_a = "NONE", |
altsyncram_component.address_aclr_a = "NONE", |
altsyncram_component.indata_reg_b = "CLOCK0", |
altsyncram_component.address_reg_b = "CLOCK0", |
altsyncram_component.wrcontrol_wraddress_reg_b = "CLOCK0", |
altsyncram_component.indata_aclr_b = "NONE", |
altsyncram_component.wrcontrol_aclr_b = "NONE", |
altsyncram_component.address_aclr_b = "NONE", |
altsyncram_component.outdata_aclr_b = "NONE", |
altsyncram_component.read_during_write_mode_mixed_ports = "DONT_CARE", |
altsyncram_component.init_file = "qu2_ram3.mif"; |
|
|
endmodule |
|
// ============================================================ |
// CNX file retrieval info |
// ============================================================ |
// Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0" |
// Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3" |
// Retrieval info: PRIVATE: UseDPRAM NUMERIC "1" |
// Retrieval info: PRIVATE: VarWidth NUMERIC "0" |
// Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "8" |
// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8" |
// Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "8" |
// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8" |
// Retrieval info: PRIVATE: MEMSIZE NUMERIC "16384" |
// Retrieval info: PRIVATE: Clock NUMERIC "0" |
// Retrieval info: PRIVATE: rden NUMERIC "0" |
// Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0" |
// Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0" |
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" |
// Retrieval info: PRIVATE: Clock_A NUMERIC "0" |
// Retrieval info: PRIVATE: Clock_B NUMERIC "0" |
// Retrieval info: PRIVATE: REGdata NUMERIC "1" |
// Retrieval info: PRIVATE: REGwraddress NUMERIC "1" |
// Retrieval info: PRIVATE: REGwren NUMERIC "1" |
// Retrieval info: PRIVATE: REGrdaddress NUMERIC "0" |
// Retrieval info: PRIVATE: REGrren NUMERIC "0" |
// Retrieval info: PRIVATE: REGq NUMERIC "0" |
// Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1" |
// Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1" |
// Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0" |
// Retrieval info: PRIVATE: CLRdata NUMERIC "0" |
// Retrieval info: PRIVATE: CLRwren NUMERIC "0" |
// Retrieval info: PRIVATE: CLRwraddress NUMERIC "0" |
// Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0" |
// Retrieval info: PRIVATE: CLRrren NUMERIC "0" |
// Retrieval info: PRIVATE: CLRq NUMERIC "0" |
// Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0" |
// Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0" |
// Retrieval info: PRIVATE: enable NUMERIC "0" |
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" |
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" |
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0" |
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0" |
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" |
// Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0" |
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2" |
// Retrieval info: PRIVATE: BlankMemory NUMERIC "0" |
// Retrieval info: PRIVATE: MIFfilename STRING "qu2_ram3.mif" |
// Retrieval info: PRIVATE: UseLCs NUMERIC "0" |
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" |
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" |
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" |
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" |
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE" |
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone" |
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone" |
// Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT" |
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8" |
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "11" |
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "2048" |
// Retrieval info: CONSTANT: WIDTH_B NUMERIC "8" |
// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "11" |
// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "2048" |
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" |
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" |
// Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1" |
// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" |
// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" |
// Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED" |
// Retrieval info: CONSTANT: INDATA_ACLR_A STRING "NONE" |
// Retrieval info: CONSTANT: WRCONTROL_ACLR_A STRING "NONE" |
// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE" |
// Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK0" |
// Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0" |
// Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK0" |
// Retrieval info: CONSTANT: INDATA_ACLR_B STRING "NONE" |
// Retrieval info: CONSTANT: WRCONTROL_ACLR_B STRING "NONE" |
// Retrieval info: CONSTANT: ADDRESS_ACLR_B STRING "NONE" |
// Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE" |
// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "DONT_CARE" |
// Retrieval info: CONSTANT: INIT_FILE STRING "qu2_ram3.mif" |
// Retrieval info: USED_PORT: data_a 0 0 8 0 INPUT NODEFVAL data_a[7..0] |
// Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT VCC wren_a |
// Retrieval info: USED_PORT: q_a 0 0 8 0 OUTPUT NODEFVAL q_a[7..0] |
// Retrieval info: USED_PORT: q_b 0 0 8 0 OUTPUT NODEFVAL q_b[7..0] |
// Retrieval info: USED_PORT: address_a 0 0 11 0 INPUT NODEFVAL address_a[10..0] |
// Retrieval info: USED_PORT: data_b 0 0 8 0 INPUT NODEFVAL data_b[7..0] |
// Retrieval info: USED_PORT: address_b 0 0 11 0 INPUT NODEFVAL address_b[10..0] |
// Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT VCC wren_b |
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock |
// Retrieval info: CONNECT: @data_a 0 0 8 0 data_a 0 0 8 0 |
// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0 |
// Retrieval info: CONNECT: q_a 0 0 8 0 @q_a 0 0 8 0 |
// Retrieval info: CONNECT: q_b 0 0 8 0 @q_b 0 0 8 0 |
// Retrieval info: CONNECT: @address_a 0 0 11 0 address_a 0 0 11 0 |
// Retrieval info: CONNECT: @data_b 0 0 8 0 data_b 0 0 8 0 |
// Retrieval info: CONNECT: @address_b 0 0 11 0 address_b 0 0 11 0 |
// Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0 |
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 |
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_3.v TRUE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_3.inc FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_3.cmp FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_3.bsf FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_3_inst.v FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_3_bb.v TRUE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_3_waveforms.html TRUE |
// Retrieval info: GEN_FILE: TYPE_NORMAL ram4096x8_3_wave*.jpg FALSE |
/ram_module.v
0,0 → 1,68
|
module mem_array |
( |
input clk, |
input [31:0] pc_i, |
output [31:0] ins_o, |
input [3:0] wren, |
input [31:0]din, |
input [31:0]wr_addr_i, |
input [31:0]rd_addr_i, |
output [31:0]dout |
); |
wire [31:0] rd_addr,pc,wr_addr; |
wire [31:0]dout_w; |
assign dout = dout_w; |
assign rd_addr=rd_addr_i[31:2]; |
assign wr_addr=wr_addr_i[31:2]; |
assign pc= pc_i[31:2]; |
|
ram2048x8_3 ram3( |
.data_a(32'b0), |
.wren_a(1'b0), |
.address_a(pc), |
.data_b(din[31:24]), |
.address_b(wr_addr), |
.wren_b(wren[3]), |
.clock(clk), |
.q_a(ins_o[31:24]), |
.q_b(dout_w[31:24]) |
); |
|
ram2048x8_2 ram2( |
.data_a(32'b0), |
.wren_a(1'b0), |
.address_a(pc), |
.data_b(din[23:16]), |
.address_b(wr_addr), |
.wren_b(wren[2]), |
.clock(clk), |
.q_a(ins_o[23:16]), |
.q_b(dout_w[23:16]) |
); |
|
ram2048x8_1 ram1( |
.data_a(32'b0), |
.wren_a(1'b0), |
.address_a(pc), |
.data_b(din[15:8]), |
.address_b(wr_addr), |
.wren_b(wren[1]), |
.clock(clk), |
.q_a(ins_o[15:8]), |
.q_b(dout_w[15:8]) |
); |
|
ram2048x8_0 ram0( |
.data_a(32'b0), |
.wren_a(1'b0), |
.address_a(pc), |
.data_b(din[7:0]), |
.address_b(wr_addr), |
.wren_b(wren[0]), |
.clock(clk), |
.q_a(ins_o[7:0]), |
.q_b(dout_w[7:0]) |
); |
|
endmodule |
/fifo512_cyclone.v
0,0 → 1,166
// megafunction wizard: %FIFO% |
// GENERATION: STANDARD |
// VERSION: WM1.0 |
// MODULE: scfifo |
|
// ============================================================ |
// File Name: fifo512_cyclone.v |
// Megafunction Name(s): |
// scfifo |
// ============================================================ |
// ************************************************************ |
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! |
// |
// 4.0 Build 190 1/28/2004 SJ Full Version |
// ************************************************************ |
|
|
//Copyright (C) 1991-2004 Altera Corporation |
//Any megafunction design, and related netlist (encrypted or decrypted), |
//support information, device programming or simulation file, and any other |
//associated documentation or information provided by Altera or a partner |
//under Altera's Megafunction Partnership Program may be used only |
//to program PLD devices (but not masked PLD devices) from Altera. Any |
//other use of such megafunction design, netlist, support information, |
//device programming or simulation file, or any other related documentation |
//or information is prohibited for any other purpose, including, but not |
//limited to modification, reverse engineering, de-compiling, or use with |
//any other silicon devices, unless such use is explicitly licensed under |
//a separate agreement with Altera or a megafunction partner. Title to the |
//intellectual property, including patents, copyrights, trademarks, trade |
//secrets, or maskworks, embodied in any such megafunction design, netlist, |
//support information, device programming or simulation file, or any other |
//related documentation or information provided by Altera or a megafunction |
//partner, remains with Altera, the megafunction partner, or their respective |
//licensors. No other licenses, including any licenses needed under any third |
//party's intellectual property, are provided herein. |
|
|
// synopsys translate_off |
`timescale 1 ps / 1 ps |
// synopsys translate_on |
module fifo512_cyclone ( |
data, |
wrreq, |
rdreq, |
clock, |
q, |
full, |
empty); |
|
input [7:0] data; |
input wrreq; |
input rdreq; |
input clock; |
output [7:0] q; |
output full; |
output empty; |
|
wire sub_wire0; |
wire [7:0] sub_wire1; |
wire sub_wire2; |
wire empty = sub_wire0; |
wire [7:0] q = sub_wire1[7:0]; |
wire full = sub_wire2; |
|
scfifo scfifo_component ( |
.rdreq (rdreq), |
.clock (clock), |
.wrreq (wrreq), |
.data (data), |
.empty (sub_wire0), |
.q (sub_wire1), |
.full (sub_wire2) |
// synopsys translate_off |
, |
.aclr (), |
.sclr (), |
.almost_full (), |
.almost_empty (), |
.usedw () |
// synopsys translate_on |
|
); |
defparam |
scfifo_component.intended_device_family = "Cyclone", |
scfifo_component.lpm_width = 8, |
scfifo_component.lpm_numwords = 512, |
scfifo_component.lpm_widthu = 9, |
scfifo_component.lpm_type = "scfifo", |
scfifo_component.lpm_showahead = "OFF", |
scfifo_component.overflow_checking = "ON", |
scfifo_component.underflow_checking = "ON", |
scfifo_component.use_eab = "ON", |
scfifo_component.add_ram_output_register = "OFF", |
scfifo_component.lpm_hint = "RAM_BLOCK_TYPE=AUTO"; |
|
|
endmodule |
|
// ============================================================ |
// CNX file retrieval info |
// ============================================================ |
// Retrieval info: PRIVATE: Width NUMERIC "8" |
// Retrieval info: PRIVATE: Depth NUMERIC "512" |
// Retrieval info: PRIVATE: Clock NUMERIC "0" |
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone" |
// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0" |
// Retrieval info: PRIVATE: Full NUMERIC "1" |
// Retrieval info: PRIVATE: Empty NUMERIC "1" |
// Retrieval info: PRIVATE: UsedW NUMERIC "0" |
// Retrieval info: PRIVATE: AlmostFull NUMERIC "0" |
// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0" |
// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1" |
// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1" |
// Retrieval info: PRIVATE: sc_aclr NUMERIC "0" |
// Retrieval info: PRIVATE: sc_sclr NUMERIC "0" |
// Retrieval info: PRIVATE: rsFull NUMERIC "0" |
// Retrieval info: PRIVATE: rsEmpty NUMERIC "1" |
// Retrieval info: PRIVATE: rsUsedW NUMERIC "0" |
// Retrieval info: PRIVATE: wsFull NUMERIC "1" |
// Retrieval info: PRIVATE: wsEmpty NUMERIC "0" |
// Retrieval info: PRIVATE: wsUsedW NUMERIC "0" |
// Retrieval info: PRIVATE: dc_aclr NUMERIC "0" |
// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1" |
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" |
// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0" |
// Retrieval info: PRIVATE: Optimize NUMERIC "2" |
// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0" |
// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0" |
// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_0 STRING "data;wrreq;rdreq;clock;aclr" |
// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_1 STRING "sclr;q;empty;full;almost_full" |
// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_2 STRING "almost_empty;usedw" |
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone" |
// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "8" |
// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "512" |
// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "9" |
// Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo" |
// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF" |
// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON" |
// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON" |
// Retrieval info: CONSTANT: USE_EAB STRING "ON" |
// Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF" |
// Retrieval info: CONSTANT: LPM_HINT STRING "RAM_BLOCK_TYPE=AUTO" |
// Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL data[7..0] |
// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0] |
// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq |
// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq |
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock |
// Retrieval info: USED_PORT: full 0 0 0 0 OUTPUT NODEFVAL full |
// Retrieval info: USED_PORT: empty 0 0 0 0 OUTPUT NODEFVAL empty |
// Retrieval info: CONNECT: @data 0 0 8 0 data 0 0 8 0 |
// Retrieval info: CONNECT: q 0 0 8 0 @q 0 0 8 0 |
// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0 |
// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0 |
// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0 |
// Retrieval info: CONNECT: full 0 0 0 0 @full 0 0 0 0 |
// Retrieval info: CONNECT: empty 0 0 0 0 @empty 0 0 0 0 |
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all |
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone.v TRUE |
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone.inc FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone.cmp FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone.bsf FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone_inst.v FALSE |
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone_bb.v TRUE |
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone_waveforms.html TRUE |
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo512_cyclone_wave*.jpg FALSE |