OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mkjpeg/trunk/design/JFIFGen
    from Rev 37 to Rev 38
    Reverse comparison

Rev 37 → Rev 38

/JFIFGen.vhd
124,7 → 124,7
-------------------------------------------------------------------
-- Header RAM
-------------------------------------------------------------------
U_Header_RAM : entity work.HeaderRam
U_Header_RAM : HeaderRam
port map
(
d => hr_data,
/header.hex
0,0 → 1,623
FF
D8
FF
E0
00
10
4A
46
49
46
00
01
01
00
00
01
00
01
00
00
FF
C0
00
11
08
01
20
01
60
03
01
11
00
02
11
01
03
11
01
FF
DB
00
43
00
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
FF
DB
00
43
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
01
FF
C4
00
1F
00
00
01
05
01
01
01
01
01
01
00
00
00
00
00
00
00
00
01
02
03
04
05
06
07
08
09
0A
0B
FF
C4
00
1F
01
00
03
01
01
01
01
01
01
01
01
01
00
00
00
00
00
00
01
02
03
04
05
06
07
08
09
0A
0B
FF
C4
00
B5
10
00
02
01
03
03
02
04
03
05
05
04
04
00
00
01
7D
01
02
03
00
04
11
05
12
21
31
41
06
13
51
61
07
22
71
14
32
81
91
A1
08
23
42
B1
C1
15
52
D1
F0
24
33
62
72
82
09
0A
16
17
18
19
1A
25
26
27
28
29
2A
34
35
36
37
38
39
3A
43
44
45
46
47
48
49
4A
53
54
55
56
57
58
59
5A
63
64
65
66
67
68
69
6A
73
74
75
76
77
78
79
7A
83
84
85
86
87
88
89
8A
92
93
94
95
96
97
98
99
9A
A2
A3
A4
A5
A6
A7
A8
A9
AA
B2
B3
B4
B5
B6
B7
B8
B9
BA
C2
C3
C4
C5
C6
C7
C8
C9
CA
D2
D3
D4
D5
D6
D7
D8
D9
DA
E1
E2
E3
E4
E5
E6
E7
E8
E9
EA
F1
F2
F3
F4
F5
F6
F7
F8
F9
FA
FF
C4
00
B5
11
00
02
01
02
04
04
03
04
07
05
04
04
00
01
02
77
00
01
02
03
11
04
05
21
31
06
12
41
51
07
61
71
13
22
32
81
08
14
42
91
A1
B1
C1
09
23
33
52
F0
15
62
72
D1
0A
16
24
34
E1
25
F1
17
18
19
1A
26
27
28
29
2A
35
36
37
38
39
3A
43
44
45
46
47
48
49
4A
53
54
55
56
57
58
59
5A
63
64
65
66
67
68
69
6A
73
74
75
76
77
78
79
7A
82
83
84
85
86
87
88
89
8A
92
93
94
95
96
97
98
99
9A
A2
A3
A4
A5
A6
A7
A8
A9
AA
B2
B3
B4
B5
B6
B7
B8
B9
BA
C2
C3
C4
C5
C6
C7
C8
C9
CA
D2
D3
D4
D5
D6
D7
D8
D9
DA
E2
E3
E4
E5
E6
E7
E8
E9
EA
F2
F3
F4
F5
F6
F7
F8
F9
FA
FF
DA
00
0C
03
01
00
02
11
03
11
00
3F
00
/HeaderRAM.v
8,7 → 8,7
reg [9:0] read_addr;
reg[7:0] mem [1023:0] /* synthesis syn_ramstyle="block_ram" */;
 
initial $readmemh("header.hex", mem);
initial $readmemh("../design/jfifgen/header.hex", mem);
 
assign q = mem[read_addr];
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.