URL
https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk
Subversion Repositories mkjpeg
Compare Revisions
- This comparison shows the changes necessary to convert path
/mkjpeg/trunk/design/hostif
- from Rev 32 to Rev 61
- ↔ Reverse comparison
Rev 32 → Rev 61
/HostIF.vhd
56,8 → 56,7
img_size_x : out std_logic_vector(15 downto 0); |
img_size_y : out std_logic_vector(15 downto 0); |
img_size_wr : out std_logic; |
sof : out std_logic; |
cmp_max : out std_logic_vector(1 downto 0) |
sof : out std_logic |
|
); |
end entity HostIF; |
110,8 → 109,6
|
outram_base_addr <= cod_data_addr_reg(outram_base_addr'range); |
|
cmp_max <= enc_start_reg(2 downto 1); |
|
------------------------------------------------------------------- |
-- OPB read |
------------------------------------------------------------------- |