OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /modular_oscilloscope
    from Rev 50 to Rev 51
    Reverse comparison

Rev 50 → Rev 51

/trunk/hdl/epp/eppwbn_pkg.vhd
4,6 → 4,8
--| File: eppwbn_wbn_side.vhd
--| Version: 0.2
--| Tested in: Actel APA300
--| Tested in: Actel A3PE1500
--| Board: RVI Prototype Board + LP Data Conversion Daughter Board
--|-------------------------------------------------------------------------------------------------
--| Description:
--| EPP - Wishbone bridge.
124,7 → 126,7
component eppwbn is
port(
-- TEMPORAL
epp_mode_monitor: out std_logic_vector (1 downto 0);
--epp_mode_monitor: out std_logic_vector (1 downto 0);
-- Externo
nStrobe: in std_logic; -- HostClk/nWrite
203,7 → 205,7
component eppwbn_16bit is
port(
-- TEMPORAL
epp_mode_monitor: out std_logic_vector (1 downto 0);
--epp_mode_monitor: out std_logic_vector (1 downto 0);
-- Externo
nStrobe: in std_logic; -- HostClk/nWrite

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.