URL
https://opencores.org/ocsvn/neo430/neo430/trunk
Subversion Repositories neo430
Compare Revisions
- This comparison shows the changes necessary to convert path
/neo430
- from Rev 126 to Rev 127
- ↔ Reverse comparison
Rev 126 → Rev 127
/trunk/doc/NEO430.pdf
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/trunk/rtl/core/neo430_application_image.vhd
43,9 → 43,9
000032 => x"5328", |
000033 => x"3ffa", |
000034 => x"4035", |
000035 => x"0244", |
000035 => x"0248", |
000036 => x"4036", |
000037 => x"0244", |
000037 => x"0248", |
000038 => x"4037", |
000039 => x"8000", |
000040 => x"9506", |
54,131 → 54,131
000043 => x"0000", |
000044 => x"5327", |
000045 => x"3ffa", |
000046 => x"430c", |
000047 => x"12b0", |
000048 => x"00a2", |
000049 => x"40b2", |
000050 => x"4700", |
000051 => x"ffd0", |
000052 => x"4302", |
000053 => x"4032", |
000054 => x"0010", |
000055 => x"4303", |
000056 => x"403e", |
000057 => x"ffa6", |
000058 => x"403f", |
000059 => x"ffa2", |
000060 => x"4c6d", |
000061 => x"930d", |
000062 => x"2001", |
000063 => x"4130", |
000064 => x"903d", |
000065 => x"000a", |
000066 => x"2006", |
000067 => x"b2be", |
000068 => x"0000", |
000069 => x"23fd", |
000070 => x"40b2", |
000071 => x"000d", |
000072 => x"ffa2", |
000073 => x"b2be", |
000074 => x"0000", |
000075 => x"23fd", |
000076 => x"4d8f", |
000077 => x"0000", |
000078 => x"531c", |
000079 => x"4030", |
000080 => x"0078", |
000081 => x"120a", |
000082 => x"421e", |
000083 => x"ffec", |
000084 => x"421f", |
000085 => x"ffee", |
000086 => x"434c", |
000087 => x"4f0a", |
000088 => x"930f", |
000089 => x"2034", |
000090 => x"403d", |
000091 => x"95ff", |
000092 => x"9e0d", |
000093 => x"2830", |
000094 => x"407d", |
000095 => x"00ff", |
000096 => x"9c0d", |
000097 => x"2832", |
000098 => x"4a0d", |
000099 => x"5a0d", |
000100 => x"5d0d", |
000101 => x"5d0d", |
000046 => x"d032", |
000047 => x"4000", |
000048 => x"430c", |
000049 => x"12b0", |
000050 => x"00a6", |
000051 => x"40b2", |
000052 => x"4700", |
000053 => x"ffd0", |
000054 => x"4302", |
000055 => x"4032", |
000056 => x"0010", |
000057 => x"4303", |
000058 => x"403e", |
000059 => x"ffa6", |
000060 => x"403f", |
000061 => x"ffa2", |
000062 => x"4c6d", |
000063 => x"930d", |
000064 => x"2001", |
000065 => x"4130", |
000066 => x"903d", |
000067 => x"000a", |
000068 => x"2006", |
000069 => x"b2be", |
000070 => x"0000", |
000071 => x"23fd", |
000072 => x"40b2", |
000073 => x"000d", |
000074 => x"ffa2", |
000075 => x"b2be", |
000076 => x"0000", |
000077 => x"23fd", |
000078 => x"4d8f", |
000079 => x"0000", |
000080 => x"531c", |
000081 => x"4030", |
000082 => x"007c", |
000083 => x"120a", |
000084 => x"421e", |
000085 => x"ffec", |
000086 => x"421f", |
000087 => x"ffee", |
000088 => x"434c", |
000089 => x"4f0a", |
000090 => x"930f", |
000091 => x"2034", |
000092 => x"403d", |
000093 => x"95ff", |
000094 => x"9e0d", |
000095 => x"2830", |
000096 => x"407d", |
000097 => x"00ff", |
000098 => x"9c0d", |
000099 => x"2832", |
000100 => x"4a0d", |
000101 => x"5a0d", |
000102 => x"5d0d", |
000103 => x"5d0d", |
000104 => x"5d0d", |
000105 => x"5d0d", |
000106 => x"5d0d", |
000107 => x"dc0d", |
000108 => x"4d82", |
000109 => x"ffa4", |
000110 => x"40b2", |
000111 => x"fe81", |
000112 => x"ffa6", |
000113 => x"403a", |
000114 => x"0070", |
000115 => x"403c", |
000116 => x"0206", |
000117 => x"128a", |
000118 => x"b2b2", |
000119 => x"ffe2", |
000120 => x"242a", |
000121 => x"4382", |
000122 => x"ffb2", |
000123 => x"434c", |
000124 => x"403f", |
000125 => x"ffb2", |
000126 => x"4c4d", |
000127 => x"4d8f", |
000128 => x"0000", |
000129 => x"407d", |
000130 => x"000b", |
000131 => x"531c", |
000132 => x"533d", |
000133 => x"930d", |
000134 => x"27f7", |
000135 => x"433e", |
000136 => x"4303", |
000137 => x"533e", |
000138 => x"930e", |
000139 => x"23fc", |
000140 => x"4030", |
000141 => x"0108", |
000142 => x"503e", |
000143 => x"6a00", |
000144 => x"633f", |
000145 => x"531c", |
000146 => x"4030", |
000147 => x"00ae", |
000148 => x"936a", |
000149 => x"2402", |
000150 => x"926a", |
000151 => x"2007", |
000152 => x"12b0", |
000153 => x"0182", |
000154 => x"535a", |
000155 => x"f03a", |
000156 => x"00ff", |
000157 => x"4030", |
000158 => x"00bc", |
000159 => x"12b0", |
000160 => x"018a", |
000161 => x"4030", |
000162 => x"0134", |
000163 => x"403c", |
000164 => x"0222", |
000165 => x"128a", |
000166 => x"435c", |
000167 => x"413a", |
000168 => x"4130", |
000169 => x"c312", |
000170 => x"100c", |
000107 => x"5d0d", |
000108 => x"5d0d", |
000109 => x"dc0d", |
000110 => x"4d82", |
000111 => x"ffa4", |
000112 => x"40b2", |
000113 => x"fe81", |
000114 => x"ffa6", |
000115 => x"403a", |
000116 => x"0074", |
000117 => x"403c", |
000118 => x"020a", |
000119 => x"128a", |
000120 => x"b2b2", |
000121 => x"ffe2", |
000122 => x"242a", |
000123 => x"4382", |
000124 => x"ffb2", |
000125 => x"434c", |
000126 => x"403f", |
000127 => x"ffb2", |
000128 => x"4c4d", |
000129 => x"4d8f", |
000130 => x"0000", |
000131 => x"407d", |
000132 => x"000b", |
000133 => x"531c", |
000134 => x"533d", |
000135 => x"930d", |
000136 => x"27f7", |
000137 => x"433e", |
000138 => x"4303", |
000139 => x"533e", |
000140 => x"930e", |
000141 => x"23fc", |
000142 => x"4030", |
000143 => x"010c", |
000144 => x"503e", |
000145 => x"6a00", |
000146 => x"633f", |
000147 => x"531c", |
000148 => x"4030", |
000149 => x"00b2", |
000150 => x"936a", |
000151 => x"2402", |
000152 => x"926a", |
000153 => x"2007", |
000154 => x"12b0", |
000155 => x"0186", |
000156 => x"535a", |
000157 => x"f03a", |
000158 => x"00ff", |
000159 => x"4030", |
000160 => x"00c0", |
000161 => x"12b0", |
000162 => x"018e", |
000163 => x"4030", |
000164 => x"0138", |
000165 => x"403c", |
000166 => x"0226", |
000167 => x"128a", |
000168 => x"435c", |
000169 => x"413a", |
000170 => x"4130", |
000171 => x"c312", |
000172 => x"100c", |
000173 => x"c312", |
207,97 → 207,99
000196 => x"100c", |
000197 => x"c312", |
000198 => x"100c", |
000199 => x"4130", |
000200 => x"533d", |
000201 => x"c312", |
000202 => x"100c", |
000203 => x"930d", |
000204 => x"23fb", |
000205 => x"4130", |
000206 => x"c312", |
000207 => x"100d", |
000208 => x"100c", |
000209 => x"c312", |
000210 => x"100d", |
000211 => x"100c", |
000212 => x"c312", |
000213 => x"100d", |
000214 => x"100c", |
000215 => x"c312", |
000216 => x"100d", |
000217 => x"100c", |
000218 => x"c312", |
000219 => x"100d", |
000220 => x"100c", |
000221 => x"c312", |
000222 => x"100d", |
000223 => x"100c", |
000224 => x"c312", |
000225 => x"100d", |
000226 => x"100c", |
000227 => x"c312", |
000228 => x"100d", |
000229 => x"100c", |
000230 => x"c312", |
000231 => x"100d", |
000232 => x"100c", |
000233 => x"c312", |
000234 => x"100d", |
000235 => x"100c", |
000236 => x"c312", |
000237 => x"100d", |
000238 => x"100c", |
000239 => x"c312", |
000240 => x"100d", |
000241 => x"100c", |
000242 => x"c312", |
000243 => x"100d", |
000244 => x"100c", |
000245 => x"c312", |
000246 => x"100d", |
000247 => x"100c", |
000248 => x"c312", |
000249 => x"100d", |
000250 => x"100c", |
000251 => x"4130", |
000252 => x"533e", |
000253 => x"c312", |
000254 => x"100d", |
000255 => x"100c", |
000256 => x"930e", |
000257 => x"23fa", |
000258 => x"4130", |
000259 => x"420a", |
000260 => x"696c", |
000261 => x"6b6e", |
000262 => x"6e69", |
000263 => x"2067", |
000264 => x"454c", |
000265 => x"2044", |
000266 => x"6564", |
000267 => x"6f6d", |
000268 => x"7020", |
000269 => x"6f72", |
000270 => x"7267", |
000271 => x"6d61", |
000272 => x"000a", |
000273 => x"7245", |
000274 => x"6f72", |
000275 => x"2172", |
000276 => x"4e20", |
000277 => x"206f", |
000278 => x"5047", |
000279 => x"4f49", |
000280 => x"7520", |
000281 => x"696e", |
000282 => x"2074", |
000283 => x"7973", |
000284 => x"746e", |
000285 => x"6568", |
000286 => x"6973", |
000287 => x"657a", |
000288 => x"2164", |
000289 => x"0000", |
000199 => x"c312", |
000200 => x"100c", |
000201 => x"4130", |
000202 => x"533d", |
000203 => x"c312", |
000204 => x"100c", |
000205 => x"930d", |
000206 => x"23fb", |
000207 => x"4130", |
000208 => x"c312", |
000209 => x"100d", |
000210 => x"100c", |
000211 => x"c312", |
000212 => x"100d", |
000213 => x"100c", |
000214 => x"c312", |
000215 => x"100d", |
000216 => x"100c", |
000217 => x"c312", |
000218 => x"100d", |
000219 => x"100c", |
000220 => x"c312", |
000221 => x"100d", |
000222 => x"100c", |
000223 => x"c312", |
000224 => x"100d", |
000225 => x"100c", |
000226 => x"c312", |
000227 => x"100d", |
000228 => x"100c", |
000229 => x"c312", |
000230 => x"100d", |
000231 => x"100c", |
000232 => x"c312", |
000233 => x"100d", |
000234 => x"100c", |
000235 => x"c312", |
000236 => x"100d", |
000237 => x"100c", |
000238 => x"c312", |
000239 => x"100d", |
000240 => x"100c", |
000241 => x"c312", |
000242 => x"100d", |
000243 => x"100c", |
000244 => x"c312", |
000245 => x"100d", |
000246 => x"100c", |
000247 => x"c312", |
000248 => x"100d", |
000249 => x"100c", |
000250 => x"c312", |
000251 => x"100d", |
000252 => x"100c", |
000253 => x"4130", |
000254 => x"533e", |
000255 => x"c312", |
000256 => x"100d", |
000257 => x"100c", |
000258 => x"930e", |
000259 => x"23fa", |
000260 => x"4130", |
000261 => x"420a", |
000262 => x"696c", |
000263 => x"6b6e", |
000264 => x"6e69", |
000265 => x"2067", |
000266 => x"454c", |
000267 => x"2044", |
000268 => x"6564", |
000269 => x"6f6d", |
000270 => x"7020", |
000271 => x"6f72", |
000272 => x"7267", |
000273 => x"6d61", |
000274 => x"000a", |
000275 => x"7245", |
000276 => x"6f72", |
000277 => x"2172", |
000278 => x"4e20", |
000279 => x"206f", |
000280 => x"5047", |
000281 => x"4f49", |
000282 => x"7520", |
000283 => x"696e", |
000284 => x"2074", |
000285 => x"7973", |
000286 => x"746e", |
000287 => x"6568", |
000288 => x"6973", |
000289 => x"657a", |
000290 => x"2164", |
000291 => x"0000", |
others => x"0000" -- nop |
); |
|
/trunk/rtl/core/neo430_bootloader_image.vhd
17,7 → 17,7
000006 => x"40b2", |
000007 => x"4700", |
000008 => x"ffd0", |
000009 => x"3d55", |
000009 => x"3d57", |
000010 => x"f03c", |
000011 => x"00ff", |
000012 => x"4c82", |
54,7 → 54,7
000043 => x"930a", |
000044 => x"2002", |
000045 => x"4030", |
000046 => x"f596", |
000046 => x"f59e", |
000047 => x"903a", |
000048 => x"000a", |
000049 => x"2002", |
67,7 → 67,7
000056 => x"f054", |
000057 => x"4302", |
000058 => x"403c", |
000059 => x"f654", |
000059 => x"f65c", |
000060 => x"12b0", |
000061 => x"f042", |
000062 => x"403c", |
75,663 → 75,663
000064 => x"b2bc", |
000065 => x"0000", |
000066 => x"23fd", |
000067 => x"4300", |
000068 => x"4030", |
000069 => x"f086", |
000070 => x"5392", |
000071 => x"fffc", |
000072 => x"e392", |
000073 => x"ffb2", |
000074 => x"1300", |
000075 => x"120a", |
000076 => x"1209", |
000077 => x"1208", |
000078 => x"4c0a", |
000079 => x"934d", |
000080 => x"2017", |
000081 => x"403c", |
000082 => x"ffa2", |
000083 => x"4c0f", |
000084 => x"4c2d", |
000085 => x"930d", |
000086 => x"37fd", |
000087 => x"4f2e", |
000088 => x"930e", |
000089 => x"37fd", |
000090 => x"4d0c", |
000091 => x"5d0c", |
000092 => x"5c0c", |
000093 => x"5c0c", |
000067 => x"d032", |
000068 => x"4000", |
000069 => x"4300", |
000070 => x"4030", |
000071 => x"f08a", |
000072 => x"5392", |
000073 => x"fffc", |
000074 => x"e392", |
000075 => x"ffb2", |
000076 => x"1300", |
000077 => x"120a", |
000078 => x"1209", |
000079 => x"1208", |
000080 => x"4c0a", |
000081 => x"934d", |
000082 => x"2017", |
000083 => x"403c", |
000084 => x"ffa2", |
000085 => x"4c0f", |
000086 => x"4c2d", |
000087 => x"930d", |
000088 => x"37fd", |
000089 => x"4f2e", |
000090 => x"930e", |
000091 => x"37fd", |
000092 => x"4d0c", |
000093 => x"5d0c", |
000094 => x"5c0c", |
000095 => x"5c0c", |
000096 => x"5c0c", |
000097 => x"5c0c", |
000098 => x"5c0c", |
000099 => x"f07e", |
000100 => x"00ff", |
000101 => x"de0c", |
000102 => x"4030", |
000103 => x"f598", |
000104 => x"4038", |
000105 => x"ffa6", |
000106 => x"d0b8", |
000107 => x"0400", |
000108 => x"0000", |
000109 => x"4039", |
000110 => x"f014", |
000111 => x"407c", |
000112 => x"0003", |
000113 => x"1289", |
000114 => x"4a0c", |
000115 => x"12b0", |
000116 => x"f5bc", |
000117 => x"1289", |
000118 => x"4a4c", |
000099 => x"5c0c", |
000100 => x"5c0c", |
000101 => x"f07e", |
000102 => x"00ff", |
000103 => x"de0c", |
000104 => x"4030", |
000105 => x"f5a0", |
000106 => x"4038", |
000107 => x"ffa6", |
000108 => x"d0b8", |
000109 => x"0400", |
000110 => x"0000", |
000111 => x"4039", |
000112 => x"f014", |
000113 => x"407c", |
000114 => x"0003", |
000115 => x"1289", |
000116 => x"4a0c", |
000117 => x"12b0", |
000118 => x"f5c4", |
000119 => x"1289", |
000120 => x"434c", |
000120 => x"4a4c", |
000121 => x"1289", |
000122 => x"4c4a", |
000123 => x"434c", |
000124 => x"1289", |
000125 => x"4c4d", |
000126 => x"f0b8", |
000127 => x"fbff", |
000128 => x"0000", |
000129 => x"4a0c", |
000130 => x"5a0c", |
000131 => x"5c0c", |
000132 => x"5c0c", |
000122 => x"434c", |
000123 => x"1289", |
000124 => x"4c4a", |
000125 => x"434c", |
000126 => x"1289", |
000127 => x"4c4d", |
000128 => x"f0b8", |
000129 => x"fbff", |
000130 => x"0000", |
000131 => x"4a0c", |
000132 => x"5a0c", |
000133 => x"5c0c", |
000134 => x"5c0c", |
000135 => x"5c0c", |
000136 => x"5c0c", |
000137 => x"5c0c", |
000138 => x"dd0c", |
000139 => x"4030", |
000140 => x"f0cc", |
000141 => x"120a", |
000142 => x"1209", |
000143 => x"1208", |
000144 => x"1207", |
000145 => x"4c07", |
000146 => x"4d08", |
000147 => x"403a", |
000148 => x"ffa6", |
000149 => x"d0ba", |
000150 => x"0400", |
000151 => x"0000", |
000152 => x"4039", |
000153 => x"f014", |
000154 => x"407c", |
000155 => x"0006", |
000156 => x"1289", |
000157 => x"f0ba", |
000158 => x"fbff", |
000159 => x"0000", |
000160 => x"d0ba", |
000161 => x"0400", |
000162 => x"0000", |
000163 => x"436c", |
000164 => x"1289", |
000165 => x"470c", |
000166 => x"12b0", |
000167 => x"f5bc", |
000168 => x"1289", |
000169 => x"474c", |
000138 => x"5c0c", |
000139 => x"5c0c", |
000140 => x"dd0c", |
000141 => x"4030", |
000142 => x"f0d0", |
000143 => x"120a", |
000144 => x"1209", |
000145 => x"1208", |
000146 => x"1207", |
000147 => x"4c07", |
000148 => x"4d08", |
000149 => x"403a", |
000150 => x"ffa6", |
000151 => x"d0ba", |
000152 => x"0400", |
000153 => x"0000", |
000154 => x"4039", |
000155 => x"f014", |
000156 => x"407c", |
000157 => x"0006", |
000158 => x"1289", |
000159 => x"f0ba", |
000160 => x"fbff", |
000161 => x"0000", |
000162 => x"d0ba", |
000163 => x"0400", |
000164 => x"0000", |
000165 => x"436c", |
000166 => x"1289", |
000167 => x"470c", |
000168 => x"12b0", |
000169 => x"f5c4", |
000170 => x"1289", |
000171 => x"480c", |
000172 => x"12b0", |
000173 => x"f5bc", |
000174 => x"1289", |
000175 => x"484c", |
000171 => x"474c", |
000172 => x"1289", |
000173 => x"480c", |
000174 => x"12b0", |
000175 => x"f5c4", |
000176 => x"1289", |
000177 => x"f0ba", |
000178 => x"fbff", |
000179 => x"0000", |
000180 => x"4077", |
000181 => x"0005", |
000182 => x"4348", |
000183 => x"d0ba", |
000184 => x"0400", |
000185 => x"0000", |
000186 => x"474c", |
000187 => x"1289", |
000188 => x"484c", |
000177 => x"484c", |
000178 => x"1289", |
000179 => x"f0ba", |
000180 => x"fbff", |
000181 => x"0000", |
000182 => x"4077", |
000183 => x"0005", |
000184 => x"4348", |
000185 => x"d0ba", |
000186 => x"0400", |
000187 => x"0000", |
000188 => x"474c", |
000189 => x"1289", |
000190 => x"f0ba", |
000191 => x"fbff", |
000192 => x"0000", |
000193 => x"b31c", |
000194 => x"23f4", |
000195 => x"4030", |
000196 => x"f596", |
000197 => x"120a", |
000198 => x"1209", |
000199 => x"4c4a", |
000200 => x"4a0c", |
000201 => x"12b0", |
000202 => x"f5cc", |
000203 => x"f03c", |
000204 => x"00ff", |
000205 => x"407d", |
000206 => x"0009", |
000207 => x"9c4d", |
000208 => x"2815", |
000209 => x"507c", |
000210 => x"0030", |
000211 => x"f03c", |
000212 => x"00ff", |
000213 => x"4039", |
000214 => x"f02e", |
000215 => x"1289", |
000216 => x"4a4c", |
000217 => x"f07c", |
000218 => x"000f", |
000219 => x"407d", |
000220 => x"0009", |
000221 => x"9c4d", |
000222 => x"280b", |
000223 => x"507c", |
000224 => x"0030", |
000225 => x"f03c", |
000226 => x"00ff", |
000227 => x"1289", |
000228 => x"4030", |
000229 => x"f59a", |
000230 => x"507c", |
000231 => x"0037", |
000232 => x"4030", |
000233 => x"f1a6", |
000234 => x"507c", |
000235 => x"0037", |
000236 => x"4030", |
000237 => x"f1c2", |
000238 => x"120a", |
000239 => x"1209", |
000240 => x"4c09", |
000241 => x"12b0", |
000242 => x"f5bc", |
000243 => x"403a", |
000244 => x"f18a", |
000245 => x"128a", |
000246 => x"494c", |
000190 => x"484c", |
000191 => x"1289", |
000192 => x"f0ba", |
000193 => x"fbff", |
000194 => x"0000", |
000195 => x"b31c", |
000196 => x"23f4", |
000197 => x"4030", |
000198 => x"f59e", |
000199 => x"120a", |
000200 => x"1209", |
000201 => x"4c4a", |
000202 => x"4a0c", |
000203 => x"12b0", |
000204 => x"f5d4", |
000205 => x"f03c", |
000206 => x"00ff", |
000207 => x"407d", |
000208 => x"0009", |
000209 => x"9c4d", |
000210 => x"2815", |
000211 => x"507c", |
000212 => x"0030", |
000213 => x"f03c", |
000214 => x"00ff", |
000215 => x"4039", |
000216 => x"f02e", |
000217 => x"1289", |
000218 => x"4a4c", |
000219 => x"f07c", |
000220 => x"000f", |
000221 => x"407d", |
000222 => x"0009", |
000223 => x"9c4d", |
000224 => x"280b", |
000225 => x"507c", |
000226 => x"0030", |
000227 => x"f03c", |
000228 => x"00ff", |
000229 => x"1289", |
000230 => x"4030", |
000231 => x"f5a2", |
000232 => x"507c", |
000233 => x"0037", |
000234 => x"4030", |
000235 => x"f1aa", |
000236 => x"507c", |
000237 => x"0037", |
000238 => x"4030", |
000239 => x"f1c6", |
000240 => x"120a", |
000241 => x"1209", |
000242 => x"4c09", |
000243 => x"12b0", |
000244 => x"f5c4", |
000245 => x"403a", |
000246 => x"f18e", |
000247 => x"128a", |
000248 => x"4030", |
000249 => x"f59a", |
000250 => x"120a", |
000251 => x"4c4a", |
000252 => x"403c", |
000253 => x"f660", |
000254 => x"12b0", |
000255 => x"f042", |
000256 => x"4a4c", |
000257 => x"12b0", |
000258 => x"f18a", |
000259 => x"4302", |
000260 => x"4392", |
000261 => x"ffb2", |
000262 => x"4030", |
000263 => x"f20c", |
000264 => x"120a", |
000265 => x"1209", |
000266 => x"1208", |
000267 => x"1207", |
000268 => x"1206", |
000269 => x"1205", |
000270 => x"1204", |
000271 => x"8321", |
000272 => x"4c48", |
000273 => x"b0b2", |
000274 => x"0100", |
000275 => x"ffe2", |
000276 => x"2403", |
000277 => x"435c", |
000278 => x"12b0", |
000279 => x"f1f4", |
000280 => x"4037", |
000281 => x"f042", |
000282 => x"9308", |
000283 => x"200e", |
000284 => x"403c", |
000285 => x"f669", |
000286 => x"1287", |
000287 => x"4039", |
000288 => x"f096", |
000289 => x"484d", |
000290 => x"434c", |
000291 => x"1289", |
000292 => x"903c", |
000293 => x"cafe", |
000294 => x"2407", |
000295 => x"436c", |
000296 => x"4030", |
000297 => x"f22c", |
000298 => x"403c", |
000299 => x"f67d", |
000300 => x"4030", |
000301 => x"f23c", |
000302 => x"484d", |
000303 => x"436c", |
000304 => x"1289", |
000305 => x"4c05", |
000306 => x"484d", |
000307 => x"426c", |
000308 => x"1289", |
000309 => x"4c81", |
000310 => x"0000", |
000311 => x"4214", |
000312 => x"ffe6", |
000313 => x"9504", |
000314 => x"2811", |
000315 => x"434a", |
000316 => x"4a06", |
000317 => x"4a0c", |
000318 => x"503c", |
000319 => x"0006", |
000320 => x"950a", |
000321 => x"280d", |
000322 => x"940a", |
000323 => x"2813", |
000324 => x"9126", |
000325 => x"2016", |
000326 => x"403c", |
000327 => x"f689", |
000328 => x"1287", |
000329 => x"5321", |
000330 => x"4030", |
000331 => x"f590", |
000332 => x"426c", |
000333 => x"4030", |
000334 => x"f22c", |
000335 => x"484d", |
000336 => x"1289", |
000337 => x"ec06", |
000338 => x"4c8a", |
000339 => x"0000", |
000340 => x"532a", |
000341 => x"4030", |
000342 => x"f27a", |
000343 => x"438a", |
000344 => x"0000", |
000345 => x"532a", |
000346 => x"4030", |
000347 => x"f284", |
000348 => x"427c", |
000349 => x"4030", |
000350 => x"f22c", |
000351 => x"120a", |
000352 => x"1209", |
000353 => x"1208", |
000354 => x"1207", |
000355 => x"1206", |
000356 => x"1205", |
000357 => x"1204", |
000358 => x"8231", |
000359 => x"4032", |
000360 => x"8000", |
000361 => x"4382", |
000362 => x"ff9e", |
000363 => x"40b2", |
000364 => x"f08c", |
000365 => x"fff8", |
000366 => x"4392", |
000367 => x"ffb2", |
000368 => x"4382", |
000369 => x"ffb4", |
000248 => x"494c", |
000249 => x"128a", |
000250 => x"4030", |
000251 => x"f5a2", |
000252 => x"120a", |
000253 => x"4c4a", |
000254 => x"403c", |
000255 => x"f668", |
000256 => x"12b0", |
000257 => x"f042", |
000258 => x"4a4c", |
000259 => x"12b0", |
000260 => x"f18e", |
000261 => x"4302", |
000262 => x"4392", |
000263 => x"ffb2", |
000264 => x"4030", |
000265 => x"f210", |
000266 => x"120a", |
000267 => x"1209", |
000268 => x"1208", |
000269 => x"1207", |
000270 => x"1206", |
000271 => x"1205", |
000272 => x"1204", |
000273 => x"8321", |
000274 => x"4c48", |
000275 => x"b0b2", |
000276 => x"0100", |
000277 => x"ffe2", |
000278 => x"2403", |
000279 => x"435c", |
000280 => x"12b0", |
000281 => x"f1f8", |
000282 => x"4037", |
000283 => x"f042", |
000284 => x"9308", |
000285 => x"200e", |
000286 => x"403c", |
000287 => x"f671", |
000288 => x"1287", |
000289 => x"4039", |
000290 => x"f09a", |
000291 => x"484d", |
000292 => x"434c", |
000293 => x"1289", |
000294 => x"903c", |
000295 => x"cafe", |
000296 => x"2407", |
000297 => x"436c", |
000298 => x"4030", |
000299 => x"f230", |
000300 => x"403c", |
000301 => x"f685", |
000302 => x"4030", |
000303 => x"f240", |
000304 => x"484d", |
000305 => x"436c", |
000306 => x"1289", |
000307 => x"4c05", |
000308 => x"484d", |
000309 => x"426c", |
000310 => x"1289", |
000311 => x"4c81", |
000312 => x"0000", |
000313 => x"4214", |
000314 => x"ffe6", |
000315 => x"9504", |
000316 => x"2811", |
000317 => x"434a", |
000318 => x"4a06", |
000319 => x"4a0c", |
000320 => x"503c", |
000321 => x"0006", |
000322 => x"950a", |
000323 => x"280d", |
000324 => x"940a", |
000325 => x"2813", |
000326 => x"9126", |
000327 => x"2016", |
000328 => x"403c", |
000329 => x"f691", |
000330 => x"1287", |
000331 => x"5321", |
000332 => x"4030", |
000333 => x"f598", |
000334 => x"426c", |
000335 => x"4030", |
000336 => x"f230", |
000337 => x"484d", |
000338 => x"1289", |
000339 => x"ec06", |
000340 => x"4c8a", |
000341 => x"0000", |
000342 => x"532a", |
000343 => x"4030", |
000344 => x"f27e", |
000345 => x"438a", |
000346 => x"0000", |
000347 => x"532a", |
000348 => x"4030", |
000349 => x"f288", |
000350 => x"427c", |
000351 => x"4030", |
000352 => x"f230", |
000353 => x"120a", |
000354 => x"1209", |
000355 => x"1208", |
000356 => x"1207", |
000357 => x"1206", |
000358 => x"1205", |
000359 => x"1204", |
000360 => x"8231", |
000361 => x"4032", |
000362 => x"8000", |
000363 => x"4382", |
000364 => x"ff9e", |
000365 => x"40b2", |
000366 => x"f090", |
000367 => x"fff8", |
000368 => x"4392", |
000369 => x"ffb2", |
000370 => x"4382", |
000371 => x"ffa6", |
000372 => x"421e", |
000373 => x"ffec", |
000374 => x"421f", |
000375 => x"ffee", |
000376 => x"434c", |
000377 => x"4f0a", |
000378 => x"930f", |
000379 => x"2065", |
000380 => x"403d", |
000381 => x"95ff", |
000382 => x"9e0d", |
000383 => x"2861", |
000384 => x"407d", |
000385 => x"00ff", |
000386 => x"9c0d", |
000387 => x"2863", |
000388 => x"4a0d", |
000389 => x"5a0d", |
000390 => x"5d0d", |
000391 => x"5d0d", |
000371 => x"ffb4", |
000372 => x"4382", |
000373 => x"ffa6", |
000374 => x"421e", |
000375 => x"ffec", |
000376 => x"421f", |
000377 => x"ffee", |
000378 => x"434c", |
000379 => x"4f0a", |
000380 => x"930f", |
000381 => x"2067", |
000382 => x"403d", |
000383 => x"95ff", |
000384 => x"9e0d", |
000385 => x"2863", |
000386 => x"407d", |
000387 => x"00ff", |
000388 => x"9c0d", |
000389 => x"2865", |
000390 => x"4a0d", |
000391 => x"5a0d", |
000392 => x"5d0d", |
000393 => x"5d0d", |
000394 => x"5d0d", |
000395 => x"5d0d", |
000396 => x"5d0d", |
000397 => x"dc0d", |
000398 => x"4d82", |
000399 => x"ffa4", |
000400 => x"40b2", |
000401 => x"0281", |
000402 => x"ffa6", |
000403 => x"4039", |
000404 => x"f014", |
000405 => x"434c", |
000406 => x"1289", |
000407 => x"4037", |
000408 => x"ffa2", |
000409 => x"472c", |
000410 => x"4382", |
000411 => x"ffc4", |
000412 => x"4036", |
000413 => x"ffee", |
000414 => x"462c", |
000415 => x"5c0c", |
000416 => x"5c0c", |
000417 => x"533c", |
000418 => x"4c82", |
000419 => x"ffc2", |
000420 => x"4382", |
000421 => x"fffc", |
000422 => x"40b2", |
000423 => x"007f", |
000424 => x"ffc4", |
000425 => x"4382", |
000426 => x"ffc0", |
000427 => x"d232", |
000428 => x"403a", |
000429 => x"f042", |
000430 => x"403c", |
000431 => x"f68c", |
000432 => x"128a", |
000433 => x"4038", |
000434 => x"f1dc", |
000435 => x"421c", |
000436 => x"ffe0", |
000437 => x"1288", |
000438 => x"403c", |
000439 => x"f6c6", |
000440 => x"128a", |
000441 => x"462c", |
000442 => x"1288", |
000443 => x"421c", |
000444 => x"ffec", |
000445 => x"1288", |
000446 => x"403c", |
000447 => x"f6cf", |
000448 => x"128a", |
000449 => x"421c", |
000450 => x"ffe6", |
000451 => x"1288", |
000452 => x"403c", |
000453 => x"f6d8", |
000454 => x"128a", |
000455 => x"421c", |
000456 => x"ffea", |
000457 => x"1288", |
000458 => x"403c", |
000459 => x"f6e1", |
000460 => x"128a", |
000461 => x"421c", |
000462 => x"ffe2", |
000463 => x"1288", |
000464 => x"403c", |
000465 => x"f6ea", |
000466 => x"128a", |
000467 => x"403d", |
000468 => x"fffc", |
000469 => x"4d2c", |
000470 => x"903c", |
000471 => x"0020", |
000472 => x"201d", |
000473 => x"435c", |
000474 => x"12b0", |
000475 => x"f210", |
000476 => x"403c", |
000477 => x"f711", |
000478 => x"128a", |
000479 => x"12b0", |
000480 => x"f072", |
000481 => x"503e", |
000482 => x"6a00", |
000483 => x"633f", |
000484 => x"531c", |
000485 => x"4030", |
000486 => x"f2f2", |
000487 => x"936a", |
000488 => x"2402", |
000489 => x"926a", |
000490 => x"2007", |
000491 => x"12b0", |
000492 => x"f5d0", |
000493 => x"535a", |
000494 => x"f03a", |
000495 => x"00ff", |
000496 => x"4030", |
000497 => x"f300", |
000498 => x"12b0", |
000499 => x"f5d8", |
000397 => x"5d0d", |
000398 => x"5d0d", |
000399 => x"dc0d", |
000400 => x"4d82", |
000401 => x"ffa4", |
000402 => x"40b2", |
000403 => x"0281", |
000404 => x"ffa6", |
000405 => x"4039", |
000406 => x"f014", |
000407 => x"434c", |
000408 => x"1289", |
000409 => x"4037", |
000410 => x"ffa2", |
000411 => x"472c", |
000412 => x"4382", |
000413 => x"ffc4", |
000414 => x"4036", |
000415 => x"ffee", |
000416 => x"462c", |
000417 => x"5c0c", |
000418 => x"5c0c", |
000419 => x"533c", |
000420 => x"4c82", |
000421 => x"ffc2", |
000422 => x"4382", |
000423 => x"fffc", |
000424 => x"40b2", |
000425 => x"007f", |
000426 => x"ffc4", |
000427 => x"4382", |
000428 => x"ffc0", |
000429 => x"d032", |
000430 => x"4000", |
000431 => x"d232", |
000432 => x"403a", |
000433 => x"f042", |
000434 => x"403c", |
000435 => x"f694", |
000436 => x"128a", |
000437 => x"4038", |
000438 => x"f1e0", |
000439 => x"421c", |
000440 => x"ffe0", |
000441 => x"1288", |
000442 => x"403c", |
000443 => x"f6ce", |
000444 => x"128a", |
000445 => x"462c", |
000446 => x"1288", |
000447 => x"421c", |
000448 => x"ffec", |
000449 => x"1288", |
000450 => x"403c", |
000451 => x"f6d7", |
000452 => x"128a", |
000453 => x"421c", |
000454 => x"ffe6", |
000455 => x"1288", |
000456 => x"403c", |
000457 => x"f6e0", |
000458 => x"128a", |
000459 => x"421c", |
000460 => x"ffea", |
000461 => x"1288", |
000462 => x"403c", |
000463 => x"f6e9", |
000464 => x"128a", |
000465 => x"421c", |
000466 => x"ffe2", |
000467 => x"1288", |
000468 => x"403c", |
000469 => x"f6f2", |
000470 => x"128a", |
000471 => x"403d", |
000472 => x"fffc", |
000473 => x"4d2c", |
000474 => x"903c", |
000475 => x"0020", |
000476 => x"201d", |
000477 => x"435c", |
000478 => x"12b0", |
000479 => x"f214", |
000480 => x"403c", |
000481 => x"f719", |
000482 => x"128a", |
000483 => x"12b0", |
000484 => x"f072", |
000485 => x"503e", |
000486 => x"6a00", |
000487 => x"633f", |
000488 => x"531c", |
000489 => x"4030", |
000490 => x"f2f6", |
000491 => x"936a", |
000492 => x"2402", |
000493 => x"926a", |
000494 => x"2007", |
000495 => x"12b0", |
000496 => x"f5d8", |
000497 => x"535a", |
000498 => x"f03a", |
000499 => x"00ff", |
000500 => x"4030", |
000501 => x"f3da", |
000502 => x"472c", |
000503 => x"930c", |
000504 => x"37dc", |
000505 => x"403c", |
000506 => x"f713", |
000507 => x"128a", |
000508 => x"403c", |
000509 => x"f71e", |
000510 => x"128a", |
000511 => x"4035", |
000512 => x"ffa2", |
000513 => x"4037", |
000514 => x"f02e", |
000515 => x"403c", |
000516 => x"f76f", |
000517 => x"128a", |
000518 => x"452c", |
000519 => x"930c", |
000520 => x"37fd", |
000521 => x"4c48", |
000522 => x"484c", |
000523 => x"1287", |
000524 => x"403c", |
000525 => x"f711", |
000526 => x"128a", |
000527 => x"9078", |
000528 => x"0072", |
000529 => x"2004", |
000530 => x"4030", |
000531 => x"f000", |
000532 => x"4030", |
000533 => x"f406", |
000534 => x"9078", |
000535 => x"0068", |
000536 => x"2005", |
000537 => x"403c", |
000538 => x"f71e", |
000539 => x"128a", |
000540 => x"4030", |
000541 => x"f406", |
000542 => x"9078", |
000543 => x"0064", |
000544 => x"203b", |
000545 => x"4348", |
000546 => x"403c", |
000547 => x"f711", |
000548 => x"128a", |
000549 => x"480c", |
000550 => x"12b0", |
000551 => x"f1dc", |
000552 => x"403c", |
000553 => x"f777", |
000554 => x"128a", |
000555 => x"480d", |
000556 => x"503d", |
000557 => x"0010", |
000558 => x"4d81", |
000559 => x"0006", |
000560 => x"4806", |
000561 => x"466c", |
000562 => x"12b0", |
000563 => x"f18a", |
000564 => x"407c", |
000565 => x"0020", |
000566 => x"1287", |
000567 => x"5316", |
000568 => x"9681", |
000569 => x"0006", |
000570 => x"23f6", |
000571 => x"403c", |
000572 => x"f77b", |
000573 => x"128a", |
000574 => x"4804", |
000575 => x"446c", |
000576 => x"4c4e", |
000577 => x"507e", |
000578 => x"ffe0", |
000579 => x"407d", |
000580 => x"005e", |
000581 => x"9e4d", |
000582 => x"2811", |
000583 => x"1287", |
000584 => x"5314", |
000585 => x"9406", |
000586 => x"23f4", |
000587 => x"407c", |
000588 => x"007c", |
000589 => x"1287", |
000590 => x"452c", |
000591 => x"930c", |
000592 => x"3bb2", |
000593 => x"9038", |
000594 => x"fff0", |
000595 => x"27af", |
000596 => x"4118", |
000597 => x"0006", |
000598 => x"4030", |
000599 => x"f444", |
000600 => x"407c", |
000601 => x"002e", |
000501 => x"f304", |
000502 => x"12b0", |
000503 => x"f5e0", |
000504 => x"4030", |
000505 => x"f3e2", |
000506 => x"472c", |
000507 => x"930c", |
000508 => x"37dc", |
000509 => x"403c", |
000510 => x"f71b", |
000511 => x"128a", |
000512 => x"403c", |
000513 => x"f726", |
000514 => x"128a", |
000515 => x"4035", |
000516 => x"ffa2", |
000517 => x"4037", |
000518 => x"f02e", |
000519 => x"403c", |
000520 => x"f777", |
000521 => x"128a", |
000522 => x"452c", |
000523 => x"930c", |
000524 => x"37fd", |
000525 => x"4c48", |
000526 => x"484c", |
000527 => x"1287", |
000528 => x"403c", |
000529 => x"f719", |
000530 => x"128a", |
000531 => x"9078", |
000532 => x"0072", |
000533 => x"2004", |
000534 => x"4030", |
000535 => x"f000", |
000536 => x"4030", |
000537 => x"f40e", |
000538 => x"9078", |
000539 => x"0068", |
000540 => x"2005", |
000541 => x"403c", |
000542 => x"f726", |
000543 => x"128a", |
000544 => x"4030", |
000545 => x"f40e", |
000546 => x"9078", |
000547 => x"0064", |
000548 => x"203b", |
000549 => x"4348", |
000550 => x"403c", |
000551 => x"f719", |
000552 => x"128a", |
000553 => x"480c", |
000554 => x"12b0", |
000555 => x"f1e0", |
000556 => x"403c", |
000557 => x"f77f", |
000558 => x"128a", |
000559 => x"480d", |
000560 => x"503d", |
000561 => x"0010", |
000562 => x"4d81", |
000563 => x"0006", |
000564 => x"4806", |
000565 => x"466c", |
000566 => x"12b0", |
000567 => x"f18e", |
000568 => x"407c", |
000569 => x"0020", |
000570 => x"1287", |
000571 => x"5316", |
000572 => x"9681", |
000573 => x"0006", |
000574 => x"23f6", |
000575 => x"403c", |
000576 => x"f783", |
000577 => x"128a", |
000578 => x"4804", |
000579 => x"446c", |
000580 => x"4c4e", |
000581 => x"507e", |
000582 => x"ffe0", |
000583 => x"407d", |
000584 => x"005e", |
000585 => x"9e4d", |
000586 => x"2811", |
000587 => x"1287", |
000588 => x"5314", |
000589 => x"9406", |
000590 => x"23f4", |
000591 => x"407c", |
000592 => x"007c", |
000593 => x"1287", |
000594 => x"452c", |
000595 => x"930c", |
000596 => x"3bb2", |
000597 => x"9038", |
000598 => x"fff0", |
000599 => x"27af", |
000600 => x"4118", |
000601 => x"0006", |
000602 => x"4030", |
000603 => x"f48e", |
000604 => x"9078", |
000605 => x"0075", |
000606 => x"2005", |
000607 => x"434c", |
000608 => x"12b0", |
000609 => x"f210", |
000610 => x"4030", |
000611 => x"f406", |
000612 => x"9078", |
000613 => x"0070", |
000614 => x"2054", |
000615 => x"403c", |
000616 => x"f77e", |
000617 => x"128a", |
000618 => x"452c", |
000619 => x"930c", |
000620 => x"37fd", |
000621 => x"907c", |
000622 => x"0079", |
000623 => x"2393", |
000624 => x"403c", |
000625 => x"f78d", |
000626 => x"128a", |
000627 => x"4038", |
000628 => x"ffa6", |
000629 => x"d0b8", |
000630 => x"0400", |
000631 => x"0000", |
000632 => x"407c", |
000633 => x"0006", |
000634 => x"1289", |
000635 => x"f0b8", |
000636 => x"fbff", |
000637 => x"0000", |
000638 => x"d0b8", |
000639 => x"0400", |
000640 => x"0000", |
000641 => x"407c", |
000642 => x"0005", |
000643 => x"1289", |
000644 => x"434c", |
000645 => x"1289", |
000646 => x"f0b8", |
000647 => x"fbff", |
000648 => x"0000", |
000649 => x"f07c", |
000650 => x"ff8f", |
000651 => x"936c", |
000652 => x"2403", |
000653 => x"434c", |
000654 => x"12b0", |
000655 => x"f1f4", |
000656 => x"4038", |
000657 => x"f11a", |
000658 => x"403d", |
000659 => x"cafe", |
000660 => x"434c", |
000661 => x"1288", |
000662 => x"4216", |
000663 => x"ffe6", |
000664 => x"460d", |
000665 => x"436c", |
000666 => x"1288", |
000667 => x"434f", |
000668 => x"4f0e", |
000669 => x"4e0c", |
000670 => x"503c", |
000671 => x"0006", |
000672 => x"960e", |
000673 => x"2807", |
000674 => x"4f0d", |
000675 => x"426c", |
000676 => x"1288", |
000677 => x"403c", |
000678 => x"f689", |
000679 => x"4030", |
000680 => x"f436", |
000681 => x"4e2b", |
000682 => x"4b0d", |
000683 => x"4b81", |
000684 => x"0002", |
000685 => x"4e81", |
000686 => x"0004", |
000687 => x"4f81", |
000688 => x"0000", |
000689 => x"1288", |
000690 => x"411b", |
000691 => x"0002", |
000692 => x"412f", |
000693 => x"eb0f", |
000694 => x"411e", |
000695 => x"0004", |
000696 => x"532e", |
000697 => x"4030", |
000698 => x"f53a", |
000699 => x"9078", |
000700 => x"0065", |
000701 => x"2003", |
000702 => x"435c", |
000703 => x"4030", |
000704 => x"f4c0", |
000705 => x"9078", |
000706 => x"0073", |
000707 => x"271b", |
000708 => x"403c", |
000709 => x"f79a", |
000710 => x"4030", |
000711 => x"f436", |
000712 => x"4134", |
000713 => x"4135", |
000714 => x"4136", |
000715 => x"4137", |
000716 => x"4138", |
000717 => x"4139", |
000718 => x"413a", |
000719 => x"4130", |
000720 => x"c312", |
000721 => x"100c", |
000722 => x"c312", |
000723 => x"100c", |
000603 => x"f44c", |
000604 => x"407c", |
000605 => x"002e", |
000606 => x"4030", |
000607 => x"f496", |
000608 => x"9078", |
000609 => x"0075", |
000610 => x"2005", |
000611 => x"434c", |
000612 => x"12b0", |
000613 => x"f214", |
000614 => x"4030", |
000615 => x"f40e", |
000616 => x"9078", |
000617 => x"0070", |
000618 => x"2054", |
000619 => x"403c", |
000620 => x"f786", |
000621 => x"128a", |
000622 => x"452c", |
000623 => x"930c", |
000624 => x"37fd", |
000625 => x"907c", |
000626 => x"0079", |
000627 => x"2393", |
000628 => x"403c", |
000629 => x"f795", |
000630 => x"128a", |
000631 => x"4038", |
000632 => x"ffa6", |
000633 => x"d0b8", |
000634 => x"0400", |
000635 => x"0000", |
000636 => x"407c", |
000637 => x"0006", |
000638 => x"1289", |
000639 => x"f0b8", |
000640 => x"fbff", |
000641 => x"0000", |
000642 => x"d0b8", |
000643 => x"0400", |
000644 => x"0000", |
000645 => x"407c", |
000646 => x"0005", |
000647 => x"1289", |
000648 => x"434c", |
000649 => x"1289", |
000650 => x"f0b8", |
000651 => x"fbff", |
000652 => x"0000", |
000653 => x"f07c", |
000654 => x"ff8f", |
000655 => x"936c", |
000656 => x"2403", |
000657 => x"434c", |
000658 => x"12b0", |
000659 => x"f1f8", |
000660 => x"4038", |
000661 => x"f11e", |
000662 => x"403d", |
000663 => x"cafe", |
000664 => x"434c", |
000665 => x"1288", |
000666 => x"4216", |
000667 => x"ffe6", |
000668 => x"460d", |
000669 => x"436c", |
000670 => x"1288", |
000671 => x"434f", |
000672 => x"4f0e", |
000673 => x"4e0c", |
000674 => x"503c", |
000675 => x"0006", |
000676 => x"960e", |
000677 => x"2807", |
000678 => x"4f0d", |
000679 => x"426c", |
000680 => x"1288", |
000681 => x"403c", |
000682 => x"f691", |
000683 => x"4030", |
000684 => x"f43e", |
000685 => x"4e2b", |
000686 => x"4b0d", |
000687 => x"4b81", |
000688 => x"0002", |
000689 => x"4e81", |
000690 => x"0004", |
000691 => x"4f81", |
000692 => x"0000", |
000693 => x"1288", |
000694 => x"411b", |
000695 => x"0002", |
000696 => x"412f", |
000697 => x"eb0f", |
000698 => x"411e", |
000699 => x"0004", |
000700 => x"532e", |
000701 => x"4030", |
000702 => x"f542", |
000703 => x"9078", |
000704 => x"0065", |
000705 => x"2003", |
000706 => x"435c", |
000707 => x"4030", |
000708 => x"f4c8", |
000709 => x"9078", |
000710 => x"0073", |
000711 => x"271b", |
000712 => x"403c", |
000713 => x"f7a2", |
000714 => x"4030", |
000715 => x"f43e", |
000716 => x"4134", |
000717 => x"4135", |
000718 => x"4136", |
000719 => x"4137", |
000720 => x"4138", |
000721 => x"4139", |
000722 => x"413a", |
000723 => x"4130", |
000724 => x"c312", |
000725 => x"100c", |
000726 => x"c312", |
758,234 → 758,238
000747 => x"100c", |
000748 => x"c312", |
000749 => x"100c", |
000750 => x"4130", |
000751 => x"533d", |
000750 => x"c312", |
000751 => x"100c", |
000752 => x"c312", |
000753 => x"100c", |
000754 => x"930d", |
000755 => x"23fb", |
000756 => x"4130", |
000757 => x"c312", |
000758 => x"100d", |
000759 => x"100c", |
000760 => x"c312", |
000761 => x"100d", |
000762 => x"100c", |
000763 => x"c312", |
000764 => x"100d", |
000765 => x"100c", |
000766 => x"c312", |
000767 => x"100d", |
000768 => x"100c", |
000769 => x"c312", |
000770 => x"100d", |
000771 => x"100c", |
000772 => x"c312", |
000773 => x"100d", |
000774 => x"100c", |
000775 => x"c312", |
000776 => x"100d", |
000777 => x"100c", |
000778 => x"c312", |
000779 => x"100d", |
000780 => x"100c", |
000781 => x"c312", |
000782 => x"100d", |
000783 => x"100c", |
000784 => x"c312", |
000785 => x"100d", |
000786 => x"100c", |
000787 => x"c312", |
000788 => x"100d", |
000789 => x"100c", |
000790 => x"c312", |
000791 => x"100d", |
000792 => x"100c", |
000793 => x"c312", |
000794 => x"100d", |
000795 => x"100c", |
000796 => x"c312", |
000797 => x"100d", |
000798 => x"100c", |
000799 => x"c312", |
000800 => x"100d", |
000801 => x"100c", |
000802 => x"4130", |
000803 => x"533e", |
000804 => x"c312", |
000805 => x"100d", |
000806 => x"100c", |
000807 => x"930e", |
000808 => x"23fa", |
000809 => x"4130", |
000810 => x"6f42", |
000811 => x"746f", |
000812 => x"6e69", |
000813 => x"2e67", |
000814 => x"2e2e", |
000815 => x"000a", |
000816 => x"070a", |
000817 => x"5245", |
000818 => x"4f52", |
000819 => x"2052", |
000820 => x"4100", |
000821 => x"6177", |
000822 => x"7469", |
000823 => x"6e69", |
000824 => x"2067", |
000825 => x"4942", |
000826 => x"454e", |
000827 => x"4558", |
000828 => x"2e2e", |
000829 => x"202e", |
000830 => x"4c00", |
000831 => x"616f", |
000832 => x"6964", |
000833 => x"676e", |
000834 => x"2e2e", |
000835 => x"202e", |
000836 => x"4f00", |
000837 => x"004b", |
000838 => x"0a0a", |
000839 => x"454e", |
000840 => x"344f", |
000841 => x"3033", |
000842 => x"4220", |
000843 => x"6f6f", |
000844 => x"6c74", |
000845 => x"616f", |
000846 => x"6564", |
000847 => x"2072", |
000848 => x"3256", |
000849 => x"3130", |
000850 => x"3037", |
000851 => x"3137", |
000852 => x"2039", |
000853 => x"7962", |
000854 => x"5320", |
000855 => x"6574", |
000856 => x"6870", |
000857 => x"6e61", |
000858 => x"4e20", |
000859 => x"6c6f", |
000860 => x"6974", |
000861 => x"676e", |
000862 => x"0a0a", |
000863 => x"5748", |
000864 => x"3a56", |
000865 => x"3020", |
000866 => x"0078", |
000867 => x"430a", |
000868 => x"4b4c", |
000869 => x"203a", |
000870 => x"7830", |
000871 => x"0a00", |
000872 => x"4f52", |
000873 => x"3a4d", |
000874 => x"3020", |
000875 => x"0078", |
000876 => x"520a", |
000877 => x"4d41", |
000878 => x"203a", |
000879 => x"7830", |
000880 => x"0a00", |
000881 => x"5953", |
000882 => x"3a53", |
000883 => x"3020", |
000884 => x"0078", |
000885 => x"0a0a", |
000886 => x"7541", |
000887 => x"6f74", |
000888 => x"6f62", |
000889 => x"746f", |
000890 => x"6920", |
000891 => x"206e", |
000892 => x"7338", |
000893 => x"202e", |
000894 => x"7250", |
000895 => x"7365", |
000896 => x"2073", |
000897 => x"656b", |
000898 => x"2079", |
000899 => x"6f74", |
000900 => x"6120", |
000901 => x"6f62", |
000902 => x"7472", |
000903 => x"0a2e", |
000904 => x"0a00", |
000905 => x"4100", |
000906 => x"6f62", |
000907 => x"7472", |
000908 => x"6465", |
000909 => x"0a2e", |
000910 => x"000a", |
000911 => x"3a64", |
000912 => x"4420", |
000913 => x"6d75", |
000914 => x"0a70", |
000915 => x"3a65", |
000916 => x"4c20", |
000917 => x"616f", |
000918 => x"2064", |
000919 => x"4545", |
000920 => x"5250", |
000921 => x"4d4f", |
000922 => x"680a", |
000923 => x"203a", |
000924 => x"6548", |
000925 => x"706c", |
000926 => x"700a", |
000754 => x"4130", |
000755 => x"533d", |
000756 => x"c312", |
000757 => x"100c", |
000758 => x"930d", |
000759 => x"23fb", |
000760 => x"4130", |
000761 => x"c312", |
000762 => x"100d", |
000763 => x"100c", |
000764 => x"c312", |
000765 => x"100d", |
000766 => x"100c", |
000767 => x"c312", |
000768 => x"100d", |
000769 => x"100c", |
000770 => x"c312", |
000771 => x"100d", |
000772 => x"100c", |
000773 => x"c312", |
000774 => x"100d", |
000775 => x"100c", |
000776 => x"c312", |
000777 => x"100d", |
000778 => x"100c", |
000779 => x"c312", |
000780 => x"100d", |
000781 => x"100c", |
000782 => x"c312", |
000783 => x"100d", |
000784 => x"100c", |
000785 => x"c312", |
000786 => x"100d", |
000787 => x"100c", |
000788 => x"c312", |
000789 => x"100d", |
000790 => x"100c", |
000791 => x"c312", |
000792 => x"100d", |
000793 => x"100c", |
000794 => x"c312", |
000795 => x"100d", |
000796 => x"100c", |
000797 => x"c312", |
000798 => x"100d", |
000799 => x"100c", |
000800 => x"c312", |
000801 => x"100d", |
000802 => x"100c", |
000803 => x"c312", |
000804 => x"100d", |
000805 => x"100c", |
000806 => x"4130", |
000807 => x"533e", |
000808 => x"c312", |
000809 => x"100d", |
000810 => x"100c", |
000811 => x"930e", |
000812 => x"23fa", |
000813 => x"4130", |
000814 => x"6f42", |
000815 => x"746f", |
000816 => x"6e69", |
000817 => x"2e67", |
000818 => x"2e2e", |
000819 => x"000a", |
000820 => x"070a", |
000821 => x"5245", |
000822 => x"4f52", |
000823 => x"2052", |
000824 => x"4100", |
000825 => x"6177", |
000826 => x"7469", |
000827 => x"6e69", |
000828 => x"2067", |
000829 => x"4942", |
000830 => x"454e", |
000831 => x"4558", |
000832 => x"2e2e", |
000833 => x"202e", |
000834 => x"4c00", |
000835 => x"616f", |
000836 => x"6964", |
000837 => x"676e", |
000838 => x"2e2e", |
000839 => x"202e", |
000840 => x"4f00", |
000841 => x"004b", |
000842 => x"0a0a", |
000843 => x"454e", |
000844 => x"344f", |
000845 => x"3033", |
000846 => x"4220", |
000847 => x"6f6f", |
000848 => x"6c74", |
000849 => x"616f", |
000850 => x"6564", |
000851 => x"2072", |
000852 => x"3256", |
000853 => x"3130", |
000854 => x"3037", |
000855 => x"3138", |
000856 => x"2038", |
000857 => x"7962", |
000858 => x"5320", |
000859 => x"6574", |
000860 => x"6870", |
000861 => x"6e61", |
000862 => x"4e20", |
000863 => x"6c6f", |
000864 => x"6974", |
000865 => x"676e", |
000866 => x"0a0a", |
000867 => x"5748", |
000868 => x"3a56", |
000869 => x"3020", |
000870 => x"0078", |
000871 => x"430a", |
000872 => x"4b4c", |
000873 => x"203a", |
000874 => x"7830", |
000875 => x"0a00", |
000876 => x"4f52", |
000877 => x"3a4d", |
000878 => x"3020", |
000879 => x"0078", |
000880 => x"520a", |
000881 => x"4d41", |
000882 => x"203a", |
000883 => x"7830", |
000884 => x"0a00", |
000885 => x"5953", |
000886 => x"3a53", |
000887 => x"3020", |
000888 => x"0078", |
000889 => x"0a0a", |
000890 => x"7541", |
000891 => x"6f74", |
000892 => x"6f62", |
000893 => x"746f", |
000894 => x"6920", |
000895 => x"206e", |
000896 => x"7338", |
000897 => x"202e", |
000898 => x"7250", |
000899 => x"7365", |
000900 => x"2073", |
000901 => x"656b", |
000902 => x"2079", |
000903 => x"6f74", |
000904 => x"6120", |
000905 => x"6f62", |
000906 => x"7472", |
000907 => x"0a2e", |
000908 => x"0a00", |
000909 => x"4100", |
000910 => x"6f62", |
000911 => x"7472", |
000912 => x"6465", |
000913 => x"0a2e", |
000914 => x"000a", |
000915 => x"3a64", |
000916 => x"4420", |
000917 => x"6d75", |
000918 => x"0a70", |
000919 => x"3a65", |
000920 => x"4c20", |
000921 => x"616f", |
000922 => x"2064", |
000923 => x"4545", |
000924 => x"5250", |
000925 => x"4d4f", |
000926 => x"680a", |
000927 => x"203a", |
000928 => x"7453", |
000929 => x"726f", |
000930 => x"2065", |
000931 => x"4545", |
000932 => x"5250", |
000933 => x"4d4f", |
000934 => x"720a", |
000935 => x"203a", |
000936 => x"6552", |
000937 => x"7473", |
000938 => x"7261", |
000939 => x"0a74", |
000940 => x"3a73", |
000941 => x"5320", |
000942 => x"6174", |
000943 => x"7472", |
000944 => x"6120", |
000945 => x"7070", |
000946 => x"750a", |
000947 => x"203a", |
000948 => x"7055", |
000949 => x"6f6c", |
000950 => x"6461", |
000951 => x"0a00", |
000952 => x"4d43", |
000953 => x"3a44", |
000954 => x"203e", |
000955 => x"3a00", |
000956 => x"2020", |
000957 => x"2000", |
000958 => x"007c", |
000959 => x"7250", |
000960 => x"636f", |
000961 => x"6565", |
000962 => x"2064", |
000963 => x"7928", |
000964 => x"6e2f", |
000965 => x"3f29", |
000966 => x"0a00", |
000967 => x"7257", |
000968 => x"7469", |
000969 => x"6e69", |
000970 => x"2e67", |
000971 => x"2e2e", |
000972 => x"0020", |
000973 => x"6142", |
000974 => x"2064", |
000975 => x"4d43", |
000976 => x"2144", |
000977 => x"0000", |
000928 => x"6548", |
000929 => x"706c", |
000930 => x"700a", |
000931 => x"203a", |
000932 => x"7453", |
000933 => x"726f", |
000934 => x"2065", |
000935 => x"4545", |
000936 => x"5250", |
000937 => x"4d4f", |
000938 => x"720a", |
000939 => x"203a", |
000940 => x"6552", |
000941 => x"7473", |
000942 => x"7261", |
000943 => x"0a74", |
000944 => x"3a73", |
000945 => x"5320", |
000946 => x"6174", |
000947 => x"7472", |
000948 => x"6120", |
000949 => x"7070", |
000950 => x"750a", |
000951 => x"203a", |
000952 => x"7055", |
000953 => x"6f6c", |
000954 => x"6461", |
000955 => x"0a00", |
000956 => x"4d43", |
000957 => x"3a44", |
000958 => x"203e", |
000959 => x"3a00", |
000960 => x"2020", |
000961 => x"2000", |
000962 => x"007c", |
000963 => x"7250", |
000964 => x"636f", |
000965 => x"6565", |
000966 => x"2064", |
000967 => x"7928", |
000968 => x"6e2f", |
000969 => x"3f29", |
000970 => x"0a00", |
000971 => x"7257", |
000972 => x"7469", |
000973 => x"6e69", |
000974 => x"2e67", |
000975 => x"2e2e", |
000976 => x"0020", |
000977 => x"6142", |
000978 => x"2064", |
000979 => x"4d43", |
000980 => x"2144", |
000981 => x"0000", |
others => x"0000" -- nop |
); |
|
/trunk/rtl/core/neo430_control.vhd
21,7 → 21,7
-- # You should have received a copy of the GNU Lesser General Public License along with this # |
-- # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
-- # ********************************************************************************************* # |
-- # Stephan Nolting, Hannover, Germany 13.08.2017 # |
-- # Stephan Nolting, Hannover, Germany 15.08.2017 # |
-- ################################################################################################# |
|
library ieee; |
625,7 → 625,9
irq_start <= '0'; -- starting IRQ handler |
elsif rising_edge(clk_i) then |
-- gather IRQs -- |
irq_buf <= (irq_buf or irq_i) and (not irq_ack_mask); |
for i in 0 to 3 loop |
irq_buf(i) <= (irq_buf(i) or irq_i(i)) and (not sreg_i(sreg_q_c)) and (not irq_ack_mask(i)); |
end loop; -- i |
-- starting IRQ -- |
if (irq_start = '0') then -- no starting IRQ |
irq_vec <= irq_vec_nxt; |
/trunk/rtl/core/neo430_package.vhd
19,7 → 19,7
-- # You should have received a copy of the GNU Lesser General Public License along with this # |
-- # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
-- # ********************************************************************************************* # |
-- # Stephan Nolting, Hannover, Germany 13.08.2017 # |
-- # Stephan Nolting, Hannover, Germany 15.08.2017 # |
-- ################################################################################################# |
|
library ieee; |
30,7 → 30,7
|
-- Processor Hardware Version ------------------------------------------------------------- |
-- ------------------------------------------------------------------------------------------- |
constant hw_version_c : std_ulogic_vector(15 downto 0) := x"0123"; -- no touchy! |
constant hw_version_c : std_ulogic_vector(15 downto 0) := x"0124"; -- no touchy! |
|
-- Internal Functions --------------------------------------------------------------------- |
-- ------------------------------------------------------------------------------------------- |
134,13 → 134,14
|
-- Status Register ------------------------------------------------------------------------ |
-- ------------------------------------------------------------------------------------------- |
constant sreg_c_c : natural := 0; -- carry flag |
constant sreg_z_c : natural := 1; -- zero flag |
constant sreg_n_c : natural := 2; -- negative flag |
constant sreg_i_c : natural := 3; -- global interrupt enable |
constant sreg_s_c : natural := 4; -- CPU sleep flag |
constant sreg_v_c : natural := 8; -- overflow flag |
constant sreg_r_c : natural := 15; -- enable write access to IMEM (ROM) when set |
constant sreg_c_c : natural := 0; -- r/w: carry flag |
constant sreg_z_c : natural := 1; -- r/w: zero flag |
constant sreg_n_c : natural := 2; -- r/w: negative flag |
constant sreg_i_c : natural := 3; -- r/w: global interrupt enable |
constant sreg_s_c : natural := 4; -- r/w: CPU sleep flag |
constant sreg_v_c : natural := 8; -- r/w: overflow flag |
constant sreg_q_c : natural := 14; -- -/w: clear pending IRQ buffer when set |
constant sreg_r_c : natural := 15; -- r/w: enable write access to IMEM (ROM) when set |
|
-- ALU Flag Bus --------------------------------------------------------------------------- |
-- ------------------------------------------------------------------------------------------- |
/trunk/rtl/core/neo430_reg_file.vhd
21,7 → 21,7
-- # You should have received a copy of the GNU Lesser General Public License along with this # |
-- # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
-- # ********************************************************************************************* # |
-- # Stephan Nolting, Hannover, Germany 13.08.2017 # |
-- # Stephan Nolting, Hannover, Germany 15.08.2017 # |
-- ################################################################################################# |
|
library ieee; |
63,7 → 63,7
attribute ramstyle of reg_file : signal is "no_rw_check"; |
|
-- status flags -- |
signal c_flag, z_flag, n_flag, i_flag, s_flag, v_flag, r_flag : std_ulogic; |
signal c_flag, z_flag, n_flag, i_flag, s_flag, v_flag, q_flag, r_flag : std_ulogic; |
|
-- misc -- |
signal in_data_tmp : std_ulogic_vector(15 downto 0); -- input selection tmp |
96,6 → 96,7
i_flag <= '0'; -- interrupts disabled |
s_flag <= '0'; -- sleep disabled |
v_flag <= '0'; -- overflow |
q_flag <= '0'; -- clear pending IRQ buffer |
r_flag <= '0'; -- IMEM (ROM) write access disabled |
elsif rising_edge(clk_i) then |
-- status register -- |
107,8 → 108,10
i_flag <= in_data(sreg_i_c); |
s_flag <= in_data(sreg_s_c); |
v_flag <= in_data(sreg_v_c); |
q_flag <= in_data(sreg_q_c); |
r_flag <= in_data(sreg_r_c); |
else -- automatic update |
q_flag <= '0'; -- auto-clear |
if (ctrl_i(ctrl_rf_dsleep_c) = '1') then -- disable sleep mode |
s_flag <= '0'; |
end if; |
133,7 → 136,7
end process rf_write; |
|
-- assign virtual SREG -- |
virtual_sreg: process(c_flag, z_flag, n_flag, i_flag, s_flag, v_flag, r_flag) |
virtual_sreg: process(c_flag, z_flag, n_flag, i_flag, s_flag, v_flag, q_flag, r_flag) |
begin |
sreg <= (others => '0'); |
sreg(sreg_c_c) <= c_flag; |
142,6 → 145,7
sreg(sreg_i_c) <= i_flag; |
sreg(sreg_s_c) <= s_flag; |
sreg(sreg_v_c) <= v_flag; |
sreg(sreg_q_c) <= q_flag; |
sreg(sreg_r_c) <= r_flag; |
end process virtual_sreg; |
|
/trunk/sw/bootloader/bootloader.c
29,7 → 29,7
// # You should have received a copy of the GNU Lesser General Public License along with this # |
// # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
// # ********************************************************************************************* # |
// # Stephan Nolting, Hannover, Germany 19.07.2017 # |
// # Stephan Nolting, Hannover, Germany 18.08.2017 # |
// ################################################################################################# |
|
// Libraries |
126,6 → 126,7
TMR_CT = (1<<TMR_CT_EN) | (1<<TMR_CT_ARST) | (1<<TMR_CT_IRQ) | ((16-1)<<TMR_CT_PRSC0); |
TMR_CNT = 0; |
|
clear_irq_buffer(); // clear all pending interrupts |
eint(); // enable global interrupts |
|
|
132,7 → 133,7
// **************************************************************** |
// Show bootloader intro and system information |
// **************************************************************** |
uart_br_print("\n\nNEO430 Bootloader V20170719 by Stephan Nolting\n\n" |
uart_br_print("\n\nNEO430 Bootloader V20170818 by Stephan Nolting\n\n" |
|
"HWV: 0x"); |
uart_print_hex_word(HW_VERSION); |
219,6 → 220,9
// wait for UART to finish transmitting |
while(USI_CT & (1<<USI_CT_UARTTXBSY)); |
|
// clear all pending interrupts |
clear_irq_buffer(); |
|
// start app in IMEM at address 0x0000 |
while (1) { |
asm volatile ("mov #0x0000, r0"); |
/trunk/sw/common/crt0.asm
19,7 → 19,7
; # You should have received a copy of the GNU Lesser General Public License along with this # |
; # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
; # ********************************************************************************************* # |
; # Stephan Nolting, Hannover, Germany 08.06.2017 # |
; # Stephan Nolting, Hannover, Germany 15.08.2017 # |
; ################################################################################################# |
|
.file "crt0.asm" |
91,6 → 91,12
|
|
; ----------------------------------------------------------- |
; Clear all pending IRQ from buffer |
; ----------------------------------------------------------- |
bis #(1<<14), r2 ; this flag auto clears |
|
|
; ----------------------------------------------------------- |
; This is where the actual application is started |
; ----------------------------------------------------------- |
mov #0, r12 ; set argc = 0 |
/trunk/sw/lib/neo430/neo430.h
23,7 → 23,7
// # You should have received a copy of the GNU Lesser General Public License along with this # |
// # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
// # ********************************************************************************************* # |
// # Stephan Nolting, Hannover, Germany 19.07.2017 # |
// # Stephan Nolting, Hannover, Germany 15.08.2017 # |
// ################################################################################################# |
|
#ifndef neo430_h |
36,13 → 36,14
// ---------------------------------------------------------------------------- |
// CPU Status Register (r2) Flags |
// ---------------------------------------------------------------------------- |
#define C_FLAG 0 // carry |
#define Z_FLAG 1 // zero |
#define N_FLAG 2 // negative |
#define I_FLAG 3 // global interrupt enable |
#define S_FLAG 4 // sleep |
#define V_FLAG 8 // overflow |
#define R_FLAG 15 // allow write-access to IMEM |
#define C_FLAG 0 // r/w: carry |
#define Z_FLAG 1 // r/w: zero |
#define N_FLAG 2 // r/w: negative |
#define I_FLAG 3 // r/w: global interrupt enable |
#define S_FLAG 4 // r/w: sleep |
#define V_FLAG 8 // r/w: overflow |
#define Q_FLAG 14 // -/w: clear pending IRQ buffer when set |
#define R_FLAG 15 // r/w: allow write-access to IMEM |
|
|
// ---------------------------------------------------------------------------- |
/trunk/sw/lib/neo430/neo430_cpu.h
19,7 → 19,7
// # You should have received a copy of the GNU Lesser General Public License along with this # |
// # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
// # ********************************************************************************************* # |
// # Stephan Nolting, Hannover, Germany 19.07.2017 # |
// # Stephan Nolting, Hannover, Germany 15.08.2017 # |
// ################################################################################################# |
|
#ifndef neo430_cpu_h |
32,6 → 32,7
inline uint16_t get_sreg(void); |
inline void set_sreg(uint16_t d); |
inline void sleep(void); |
inline void clear_irq_buffer(void); |
void cpu_delay(uint16_t t); |
void _memset(uint8_t *dst, uint8_t data, uint16_t num); |
uint8_t _memcmp(uint8_t *dst, uint8_t *src, uint16_t num); |
108,6 → 109,16
|
|
/* ------------------------------------------------------------ |
* INFO Clear CPU pending IRQ buffer |
* ------------------------------------------------------------ */ |
inline void clear_irq_buffer(void){ |
|
asm volatile ("bis %0, r2" : : "i" (1<<Q_FLAG)); |
// no need to reset the flag as it automatically clears again |
} |
|
|
/* ------------------------------------------------------------ |
* INFO Simple wait function |
* PARAM Amount of ~2^16 cycles to wait |
* ------------------------------------------------------------ */ |