OpenCores
URL https://opencores.org/ocsvn/neo430/neo430/trunk

Subversion Repositories neo430

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /neo430
    from Rev 127 to Rev 128
    Reverse comparison

Rev 127 → Rev 128

/trunk/rtl/core/neo430_application_image.vhd
54,7 → 54,7
000043 => x"0000",
000044 => x"5327",
000045 => x"3ffa",
000046 => x"d032",
000046 => x"4032",
000047 => x"4000",
000048 => x"430c",
000049 => x"12b0",
/trunk/rtl/core/neo430_bootloader_image.vhd
17,7 → 17,7
000006 => x"40b2",
000007 => x"4700",
000008 => x"ffd0",
000009 => x"3d57",
000009 => x"3d56",
000010 => x"f03c",
000011 => x"00ff",
000012 => x"4c82",
54,7 → 54,7
000043 => x"930a",
000044 => x"2002",
000045 => x"4030",
000046 => x"f59e",
000046 => x"f59c",
000047 => x"903a",
000048 => x"000a",
000049 => x"2002",
65,43 → 65,43
000054 => x"5319",
000055 => x"4030",
000056 => x"f054",
000057 => x"4302",
000058 => x"403c",
000059 => x"f65c",
000060 => x"12b0",
000061 => x"f042",
000062 => x"403c",
000063 => x"ffa6",
000064 => x"b2bc",
000065 => x"0000",
000066 => x"23fd",
000067 => x"d032",
000068 => x"4000",
000069 => x"4300",
000070 => x"4030",
000071 => x"f08a",
000072 => x"5392",
000073 => x"fffc",
000074 => x"e392",
000075 => x"ffb2",
000076 => x"1300",
000077 => x"120a",
000078 => x"1209",
000079 => x"1208",
000080 => x"4c0a",
000081 => x"934d",
000082 => x"2017",
000083 => x"403c",
000084 => x"ffa2",
000085 => x"4c0f",
000086 => x"4c2d",
000087 => x"930d",
000088 => x"37fd",
000089 => x"4f2e",
000090 => x"930e",
000091 => x"37fd",
000092 => x"4d0c",
000093 => x"5d0c",
000057 => x"4032",
000058 => x"4000",
000059 => x"403c",
000060 => x"f65a",
000061 => x"12b0",
000062 => x"f042",
000063 => x"403c",
000064 => x"ffa6",
000065 => x"b2bc",
000066 => x"0000",
000067 => x"23fd",
000068 => x"4300",
000069 => x"4030",
000070 => x"f088",
000071 => x"5392",
000072 => x"fffc",
000073 => x"e392",
000074 => x"ffb2",
000075 => x"1300",
000076 => x"120a",
000077 => x"1209",
000078 => x"1208",
000079 => x"4c0a",
000080 => x"934d",
000081 => x"2017",
000082 => x"403c",
000083 => x"ffa2",
000084 => x"4c0f",
000085 => x"4c2d",
000086 => x"930d",
000087 => x"37fd",
000088 => x"4f2e",
000089 => x"930e",
000090 => x"37fd",
000091 => x"4d0c",
000092 => x"5d0c",
000093 => x"5c0c",
000094 => x"5c0c",
000095 => x"5c0c",
000096 => x"5c0c",
108,39 → 108,39
000097 => x"5c0c",
000098 => x"5c0c",
000099 => x"5c0c",
000100 => x"5c0c",
000101 => x"f07e",
000102 => x"00ff",
000103 => x"de0c",
000104 => x"4030",
000105 => x"f5a0",
000106 => x"4038",
000107 => x"ffa6",
000108 => x"d0b8",
000109 => x"0400",
000110 => x"0000",
000111 => x"4039",
000112 => x"f014",
000113 => x"407c",
000114 => x"0003",
000115 => x"1289",
000116 => x"4a0c",
000117 => x"12b0",
000118 => x"f5c4",
000119 => x"1289",
000120 => x"4a4c",
000121 => x"1289",
000122 => x"434c",
000123 => x"1289",
000124 => x"4c4a",
000125 => x"434c",
000126 => x"1289",
000127 => x"4c4d",
000128 => x"f0b8",
000129 => x"fbff",
000130 => x"0000",
000131 => x"4a0c",
000132 => x"5a0c",
000100 => x"f07e",
000101 => x"00ff",
000102 => x"de0c",
000103 => x"4030",
000104 => x"f59e",
000105 => x"4038",
000106 => x"ffa6",
000107 => x"d0b8",
000108 => x"0400",
000109 => x"0000",
000110 => x"4039",
000111 => x"f014",
000112 => x"407c",
000113 => x"0003",
000114 => x"1289",
000115 => x"4a0c",
000116 => x"12b0",
000117 => x"f5c2",
000118 => x"1289",
000119 => x"4a4c",
000120 => x"1289",
000121 => x"434c",
000122 => x"1289",
000123 => x"4c4a",
000124 => x"434c",
000125 => x"1289",
000126 => x"4c4d",
000127 => x"f0b8",
000128 => x"fbff",
000129 => x"0000",
000130 => x"4a0c",
000131 => x"5a0c",
000132 => x"5c0c",
000133 => x"5c0c",
000134 => x"5c0c",
000135 => x"5c0c",
147,259 → 147,259
000136 => x"5c0c",
000137 => x"5c0c",
000138 => x"5c0c",
000139 => x"5c0c",
000140 => x"dd0c",
000141 => x"4030",
000142 => x"f0d0",
000143 => x"120a",
000144 => x"1209",
000145 => x"1208",
000146 => x"1207",
000147 => x"4c07",
000148 => x"4d08",
000149 => x"403a",
000150 => x"ffa6",
000151 => x"d0ba",
000152 => x"0400",
000153 => x"0000",
000154 => x"4039",
000155 => x"f014",
000156 => x"407c",
000157 => x"0006",
000158 => x"1289",
000159 => x"f0ba",
000160 => x"fbff",
000161 => x"0000",
000162 => x"d0ba",
000163 => x"0400",
000164 => x"0000",
000165 => x"436c",
000166 => x"1289",
000167 => x"470c",
000168 => x"12b0",
000169 => x"f5c4",
000170 => x"1289",
000171 => x"474c",
000172 => x"1289",
000173 => x"480c",
000174 => x"12b0",
000175 => x"f5c4",
000176 => x"1289",
000177 => x"484c",
000178 => x"1289",
000179 => x"f0ba",
000180 => x"fbff",
000181 => x"0000",
000182 => x"4077",
000183 => x"0005",
000184 => x"4348",
000185 => x"d0ba",
000186 => x"0400",
000187 => x"0000",
000188 => x"474c",
000189 => x"1289",
000190 => x"484c",
000191 => x"1289",
000192 => x"f0ba",
000193 => x"fbff",
000194 => x"0000",
000195 => x"b31c",
000196 => x"23f4",
000197 => x"4030",
000198 => x"f59e",
000199 => x"120a",
000200 => x"1209",
000201 => x"4c4a",
000202 => x"4a0c",
000203 => x"12b0",
000204 => x"f5d4",
000205 => x"f03c",
000206 => x"00ff",
000207 => x"407d",
000208 => x"0009",
000209 => x"9c4d",
000210 => x"2815",
000211 => x"507c",
000212 => x"0030",
000213 => x"f03c",
000214 => x"00ff",
000215 => x"4039",
000216 => x"f02e",
000217 => x"1289",
000218 => x"4a4c",
000219 => x"f07c",
000220 => x"000f",
000221 => x"407d",
000222 => x"0009",
000223 => x"9c4d",
000224 => x"280b",
000225 => x"507c",
000226 => x"0030",
000227 => x"f03c",
000228 => x"00ff",
000229 => x"1289",
000230 => x"4030",
000231 => x"f5a2",
000232 => x"507c",
000233 => x"0037",
000234 => x"4030",
000235 => x"f1aa",
000236 => x"507c",
000237 => x"0037",
000238 => x"4030",
000239 => x"f1c6",
000240 => x"120a",
000241 => x"1209",
000242 => x"4c09",
000243 => x"12b0",
000244 => x"f5c4",
000245 => x"403a",
000246 => x"f18e",
000247 => x"128a",
000248 => x"494c",
000249 => x"128a",
000250 => x"4030",
000251 => x"f5a2",
000252 => x"120a",
000253 => x"4c4a",
000254 => x"403c",
000255 => x"f668",
000256 => x"12b0",
000257 => x"f042",
000258 => x"4a4c",
000259 => x"12b0",
000260 => x"f18e",
000261 => x"4302",
000262 => x"4392",
000263 => x"ffb2",
000264 => x"4030",
000265 => x"f210",
000266 => x"120a",
000267 => x"1209",
000268 => x"1208",
000269 => x"1207",
000270 => x"1206",
000271 => x"1205",
000272 => x"1204",
000273 => x"8321",
000274 => x"4c48",
000275 => x"b0b2",
000276 => x"0100",
000277 => x"ffe2",
000278 => x"2403",
000279 => x"435c",
000280 => x"12b0",
000281 => x"f1f8",
000282 => x"4037",
000283 => x"f042",
000284 => x"9308",
000285 => x"200e",
000286 => x"403c",
000287 => x"f671",
000288 => x"1287",
000289 => x"4039",
000290 => x"f09a",
000291 => x"484d",
000292 => x"434c",
000293 => x"1289",
000294 => x"903c",
000295 => x"cafe",
000296 => x"2407",
000297 => x"436c",
000298 => x"4030",
000299 => x"f230",
000300 => x"403c",
000301 => x"f685",
000302 => x"4030",
000303 => x"f240",
000304 => x"484d",
000305 => x"436c",
000306 => x"1289",
000307 => x"4c05",
000308 => x"484d",
000309 => x"426c",
000310 => x"1289",
000311 => x"4c81",
000312 => x"0000",
000313 => x"4214",
000314 => x"ffe6",
000315 => x"9504",
000316 => x"2811",
000317 => x"434a",
000318 => x"4a06",
000319 => x"4a0c",
000320 => x"503c",
000321 => x"0006",
000322 => x"950a",
000323 => x"280d",
000324 => x"940a",
000325 => x"2813",
000326 => x"9126",
000327 => x"2016",
000328 => x"403c",
000329 => x"f691",
000330 => x"1287",
000331 => x"5321",
000332 => x"4030",
000333 => x"f598",
000334 => x"426c",
000335 => x"4030",
000336 => x"f230",
000337 => x"484d",
000338 => x"1289",
000339 => x"ec06",
000340 => x"4c8a",
000341 => x"0000",
000342 => x"532a",
000343 => x"4030",
000344 => x"f27e",
000345 => x"438a",
000346 => x"0000",
000347 => x"532a",
000348 => x"4030",
000349 => x"f288",
000350 => x"427c",
000351 => x"4030",
000352 => x"f230",
000353 => x"120a",
000354 => x"1209",
000355 => x"1208",
000356 => x"1207",
000357 => x"1206",
000358 => x"1205",
000359 => x"1204",
000360 => x"8231",
000361 => x"4032",
000362 => x"8000",
000363 => x"4382",
000364 => x"ff9e",
000365 => x"40b2",
000366 => x"f090",
000367 => x"fff8",
000368 => x"4392",
000369 => x"ffb2",
000370 => x"4382",
000371 => x"ffb4",
000372 => x"4382",
000373 => x"ffa6",
000374 => x"421e",
000375 => x"ffec",
000376 => x"421f",
000377 => x"ffee",
000378 => x"434c",
000379 => x"4f0a",
000380 => x"930f",
000381 => x"2067",
000382 => x"403d",
000383 => x"95ff",
000384 => x"9e0d",
000385 => x"2863",
000386 => x"407d",
000387 => x"00ff",
000388 => x"9c0d",
000389 => x"2865",
000390 => x"4a0d",
000391 => x"5a0d",
000139 => x"dd0c",
000140 => x"4030",
000141 => x"f0ce",
000142 => x"120a",
000143 => x"1209",
000144 => x"1208",
000145 => x"1207",
000146 => x"4c07",
000147 => x"4d08",
000148 => x"403a",
000149 => x"ffa6",
000150 => x"d0ba",
000151 => x"0400",
000152 => x"0000",
000153 => x"4039",
000154 => x"f014",
000155 => x"407c",
000156 => x"0006",
000157 => x"1289",
000158 => x"f0ba",
000159 => x"fbff",
000160 => x"0000",
000161 => x"d0ba",
000162 => x"0400",
000163 => x"0000",
000164 => x"436c",
000165 => x"1289",
000166 => x"470c",
000167 => x"12b0",
000168 => x"f5c2",
000169 => x"1289",
000170 => x"474c",
000171 => x"1289",
000172 => x"480c",
000173 => x"12b0",
000174 => x"f5c2",
000175 => x"1289",
000176 => x"484c",
000177 => x"1289",
000178 => x"f0ba",
000179 => x"fbff",
000180 => x"0000",
000181 => x"4077",
000182 => x"0005",
000183 => x"4348",
000184 => x"d0ba",
000185 => x"0400",
000186 => x"0000",
000187 => x"474c",
000188 => x"1289",
000189 => x"484c",
000190 => x"1289",
000191 => x"f0ba",
000192 => x"fbff",
000193 => x"0000",
000194 => x"b31c",
000195 => x"23f4",
000196 => x"4030",
000197 => x"f59c",
000198 => x"120a",
000199 => x"1209",
000200 => x"4c4a",
000201 => x"4a0c",
000202 => x"12b0",
000203 => x"f5d2",
000204 => x"f03c",
000205 => x"00ff",
000206 => x"407d",
000207 => x"0009",
000208 => x"9c4d",
000209 => x"2815",
000210 => x"507c",
000211 => x"0030",
000212 => x"f03c",
000213 => x"00ff",
000214 => x"4039",
000215 => x"f02e",
000216 => x"1289",
000217 => x"4a4c",
000218 => x"f07c",
000219 => x"000f",
000220 => x"407d",
000221 => x"0009",
000222 => x"9c4d",
000223 => x"280b",
000224 => x"507c",
000225 => x"0030",
000226 => x"f03c",
000227 => x"00ff",
000228 => x"1289",
000229 => x"4030",
000230 => x"f5a0",
000231 => x"507c",
000232 => x"0037",
000233 => x"4030",
000234 => x"f1a8",
000235 => x"507c",
000236 => x"0037",
000237 => x"4030",
000238 => x"f1c4",
000239 => x"120a",
000240 => x"1209",
000241 => x"4c09",
000242 => x"12b0",
000243 => x"f5c2",
000244 => x"403a",
000245 => x"f18c",
000246 => x"128a",
000247 => x"494c",
000248 => x"128a",
000249 => x"4030",
000250 => x"f5a0",
000251 => x"120a",
000252 => x"4c4a",
000253 => x"403c",
000254 => x"f666",
000255 => x"12b0",
000256 => x"f042",
000257 => x"4a4c",
000258 => x"12b0",
000259 => x"f18c",
000260 => x"4302",
000261 => x"4392",
000262 => x"ffb2",
000263 => x"4030",
000264 => x"f20e",
000265 => x"120a",
000266 => x"1209",
000267 => x"1208",
000268 => x"1207",
000269 => x"1206",
000270 => x"1205",
000271 => x"1204",
000272 => x"8321",
000273 => x"4c48",
000274 => x"b0b2",
000275 => x"0100",
000276 => x"ffe2",
000277 => x"2403",
000278 => x"435c",
000279 => x"12b0",
000280 => x"f1f6",
000281 => x"4037",
000282 => x"f042",
000283 => x"9308",
000284 => x"200e",
000285 => x"403c",
000286 => x"f66f",
000287 => x"1287",
000288 => x"4039",
000289 => x"f098",
000290 => x"484d",
000291 => x"434c",
000292 => x"1289",
000293 => x"903c",
000294 => x"cafe",
000295 => x"2407",
000296 => x"436c",
000297 => x"4030",
000298 => x"f22e",
000299 => x"403c",
000300 => x"f683",
000301 => x"4030",
000302 => x"f23e",
000303 => x"484d",
000304 => x"436c",
000305 => x"1289",
000306 => x"4c05",
000307 => x"484d",
000308 => x"426c",
000309 => x"1289",
000310 => x"4c81",
000311 => x"0000",
000312 => x"4214",
000313 => x"ffe6",
000314 => x"9504",
000315 => x"2811",
000316 => x"434a",
000317 => x"4a06",
000318 => x"4a0c",
000319 => x"503c",
000320 => x"0006",
000321 => x"950a",
000322 => x"280d",
000323 => x"940a",
000324 => x"2813",
000325 => x"9126",
000326 => x"2016",
000327 => x"403c",
000328 => x"f68f",
000329 => x"1287",
000330 => x"5321",
000331 => x"4030",
000332 => x"f596",
000333 => x"426c",
000334 => x"4030",
000335 => x"f22e",
000336 => x"484d",
000337 => x"1289",
000338 => x"ec06",
000339 => x"4c8a",
000340 => x"0000",
000341 => x"532a",
000342 => x"4030",
000343 => x"f27c",
000344 => x"438a",
000345 => x"0000",
000346 => x"532a",
000347 => x"4030",
000348 => x"f286",
000349 => x"427c",
000350 => x"4030",
000351 => x"f22e",
000352 => x"120a",
000353 => x"1209",
000354 => x"1208",
000355 => x"1207",
000356 => x"1206",
000357 => x"1205",
000358 => x"1204",
000359 => x"8231",
000360 => x"4032",
000361 => x"8000",
000362 => x"4382",
000363 => x"ff9e",
000364 => x"40b2",
000365 => x"f08e",
000366 => x"fff8",
000367 => x"4392",
000368 => x"ffb2",
000369 => x"4382",
000370 => x"ffb4",
000371 => x"4382",
000372 => x"ffa6",
000373 => x"421e",
000374 => x"ffec",
000375 => x"421f",
000376 => x"ffee",
000377 => x"434c",
000378 => x"4f0a",
000379 => x"930f",
000380 => x"2067",
000381 => x"403d",
000382 => x"95ff",
000383 => x"9e0d",
000384 => x"2863",
000385 => x"407d",
000386 => x"00ff",
000387 => x"9c0d",
000388 => x"2865",
000389 => x"4a0d",
000390 => x"5a0d",
000391 => x"5d0d",
000392 => x"5d0d",
000393 => x"5d0d",
000394 => x"5d0d",
406,590 → 406,589
000395 => x"5d0d",
000396 => x"5d0d",
000397 => x"5d0d",
000398 => x"5d0d",
000399 => x"dc0d",
000400 => x"4d82",
000401 => x"ffa4",
000402 => x"40b2",
000403 => x"0281",
000404 => x"ffa6",
000405 => x"4039",
000406 => x"f014",
000407 => x"434c",
000408 => x"1289",
000409 => x"4037",
000410 => x"ffa2",
000411 => x"472c",
000412 => x"4382",
000413 => x"ffc4",
000414 => x"4036",
000415 => x"ffee",
000416 => x"462c",
000398 => x"dc0d",
000399 => x"4d82",
000400 => x"ffa4",
000401 => x"40b2",
000402 => x"0281",
000403 => x"ffa6",
000404 => x"4039",
000405 => x"f014",
000406 => x"434c",
000407 => x"1289",
000408 => x"4037",
000409 => x"ffa2",
000410 => x"472c",
000411 => x"4382",
000412 => x"ffc4",
000413 => x"4036",
000414 => x"ffee",
000415 => x"462c",
000416 => x"5c0c",
000417 => x"5c0c",
000418 => x"5c0c",
000419 => x"533c",
000420 => x"4c82",
000421 => x"ffc2",
000422 => x"4382",
000423 => x"fffc",
000424 => x"40b2",
000425 => x"007f",
000426 => x"ffc4",
000427 => x"4382",
000428 => x"ffc0",
000429 => x"d032",
000430 => x"4000",
000431 => x"d232",
000432 => x"403a",
000433 => x"f042",
000434 => x"403c",
000435 => x"f694",
000436 => x"128a",
000437 => x"4038",
000438 => x"f1e0",
000439 => x"421c",
000440 => x"ffe0",
000441 => x"1288",
000442 => x"403c",
000443 => x"f6ce",
000444 => x"128a",
000445 => x"462c",
000446 => x"1288",
000447 => x"421c",
000448 => x"ffec",
000449 => x"1288",
000450 => x"403c",
000451 => x"f6d7",
000452 => x"128a",
000453 => x"421c",
000454 => x"ffe6",
000455 => x"1288",
000456 => x"403c",
000457 => x"f6e0",
000458 => x"128a",
000459 => x"421c",
000460 => x"ffea",
000461 => x"1288",
000462 => x"403c",
000463 => x"f6e9",
000464 => x"128a",
000465 => x"421c",
000466 => x"ffe2",
000467 => x"1288",
000468 => x"403c",
000469 => x"f6f2",
000470 => x"128a",
000471 => x"403d",
000472 => x"fffc",
000473 => x"4d2c",
000474 => x"903c",
000475 => x"0020",
000476 => x"201d",
000477 => x"435c",
000478 => x"12b0",
000479 => x"f214",
000480 => x"403c",
000481 => x"f719",
000482 => x"128a",
000483 => x"12b0",
000484 => x"f072",
000485 => x"503e",
000486 => x"6a00",
000487 => x"633f",
000488 => x"531c",
000489 => x"4030",
000490 => x"f2f6",
000491 => x"936a",
000492 => x"2402",
000493 => x"926a",
000494 => x"2007",
000495 => x"12b0",
000496 => x"f5d8",
000497 => x"535a",
000498 => x"f03a",
000499 => x"00ff",
000500 => x"4030",
000501 => x"f304",
000502 => x"12b0",
000503 => x"f5e0",
000504 => x"4030",
000505 => x"f3e2",
000506 => x"472c",
000507 => x"930c",
000508 => x"37dc",
000509 => x"403c",
000510 => x"f71b",
000511 => x"128a",
000512 => x"403c",
000513 => x"f726",
000514 => x"128a",
000515 => x"4035",
000516 => x"ffa2",
000517 => x"4037",
000518 => x"f02e",
000519 => x"403c",
000520 => x"f777",
000521 => x"128a",
000522 => x"452c",
000523 => x"930c",
000524 => x"37fd",
000525 => x"4c48",
000526 => x"484c",
000527 => x"1287",
000528 => x"403c",
000529 => x"f719",
000530 => x"128a",
000531 => x"9078",
000532 => x"0072",
000533 => x"2004",
000534 => x"4030",
000535 => x"f000",
000536 => x"4030",
000537 => x"f40e",
000538 => x"9078",
000539 => x"0068",
000540 => x"2005",
000541 => x"403c",
000542 => x"f726",
000543 => x"128a",
000544 => x"4030",
000545 => x"f40e",
000546 => x"9078",
000547 => x"0064",
000548 => x"203b",
000549 => x"4348",
000550 => x"403c",
000551 => x"f719",
000552 => x"128a",
000553 => x"480c",
000554 => x"12b0",
000555 => x"f1e0",
000556 => x"403c",
000557 => x"f77f",
000558 => x"128a",
000559 => x"480d",
000560 => x"503d",
000561 => x"0010",
000562 => x"4d81",
000563 => x"0006",
000564 => x"4806",
000565 => x"466c",
000566 => x"12b0",
000567 => x"f18e",
000568 => x"407c",
000569 => x"0020",
000570 => x"1287",
000571 => x"5316",
000572 => x"9681",
000573 => x"0006",
000574 => x"23f6",
000575 => x"403c",
000576 => x"f783",
000577 => x"128a",
000578 => x"4804",
000579 => x"446c",
000580 => x"4c4e",
000581 => x"507e",
000582 => x"ffe0",
000583 => x"407d",
000584 => x"005e",
000585 => x"9e4d",
000586 => x"2811",
000587 => x"1287",
000588 => x"5314",
000589 => x"9406",
000590 => x"23f4",
000591 => x"407c",
000592 => x"007c",
000593 => x"1287",
000594 => x"452c",
000595 => x"930c",
000596 => x"3bb2",
000597 => x"9038",
000598 => x"fff0",
000599 => x"27af",
000600 => x"4118",
000601 => x"0006",
000602 => x"4030",
000603 => x"f44c",
000604 => x"407c",
000605 => x"002e",
000606 => x"4030",
000607 => x"f496",
000608 => x"9078",
000609 => x"0075",
000610 => x"2005",
000611 => x"434c",
000612 => x"12b0",
000613 => x"f214",
000614 => x"4030",
000615 => x"f40e",
000616 => x"9078",
000617 => x"0070",
000618 => x"2054",
000619 => x"403c",
000620 => x"f786",
000621 => x"128a",
000622 => x"452c",
000623 => x"930c",
000624 => x"37fd",
000625 => x"907c",
000626 => x"0079",
000627 => x"2393",
000628 => x"403c",
000629 => x"f795",
000630 => x"128a",
000631 => x"4038",
000632 => x"ffa6",
000633 => x"d0b8",
000634 => x"0400",
000635 => x"0000",
000636 => x"407c",
000637 => x"0006",
000638 => x"1289",
000639 => x"f0b8",
000640 => x"fbff",
000641 => x"0000",
000642 => x"d0b8",
000643 => x"0400",
000644 => x"0000",
000645 => x"407c",
000646 => x"0005",
000647 => x"1289",
000648 => x"434c",
000649 => x"1289",
000650 => x"f0b8",
000651 => x"fbff",
000652 => x"0000",
000653 => x"f07c",
000654 => x"ff8f",
000655 => x"936c",
000656 => x"2403",
000657 => x"434c",
000658 => x"12b0",
000659 => x"f1f8",
000660 => x"4038",
000661 => x"f11e",
000662 => x"403d",
000663 => x"cafe",
000664 => x"434c",
000665 => x"1288",
000666 => x"4216",
000667 => x"ffe6",
000668 => x"460d",
000669 => x"436c",
000670 => x"1288",
000671 => x"434f",
000672 => x"4f0e",
000673 => x"4e0c",
000674 => x"503c",
000675 => x"0006",
000676 => x"960e",
000677 => x"2807",
000678 => x"4f0d",
000679 => x"426c",
000680 => x"1288",
000681 => x"403c",
000682 => x"f691",
000683 => x"4030",
000684 => x"f43e",
000685 => x"4e2b",
000686 => x"4b0d",
000687 => x"4b81",
000688 => x"0002",
000689 => x"4e81",
000690 => x"0004",
000691 => x"4f81",
000692 => x"0000",
000693 => x"1288",
000694 => x"411b",
000695 => x"0002",
000696 => x"412f",
000697 => x"eb0f",
000698 => x"411e",
000699 => x"0004",
000700 => x"532e",
000701 => x"4030",
000702 => x"f542",
000703 => x"9078",
000704 => x"0065",
000705 => x"2003",
000706 => x"435c",
000707 => x"4030",
000708 => x"f4c8",
000709 => x"9078",
000710 => x"0073",
000711 => x"271b",
000712 => x"403c",
000713 => x"f7a2",
000714 => x"4030",
000715 => x"f43e",
000716 => x"4134",
000717 => x"4135",
000718 => x"4136",
000719 => x"4137",
000720 => x"4138",
000721 => x"4139",
000722 => x"413a",
000723 => x"4130",
000724 => x"c312",
000725 => x"100c",
000726 => x"c312",
000727 => x"100c",
000728 => x"c312",
000729 => x"100c",
000730 => x"c312",
000731 => x"100c",
000732 => x"c312",
000733 => x"100c",
000734 => x"c312",
000735 => x"100c",
000736 => x"c312",
000737 => x"100c",
000738 => x"c312",
000739 => x"100c",
000740 => x"c312",
000741 => x"100c",
000742 => x"c312",
000743 => x"100c",
000744 => x"c312",
000745 => x"100c",
000746 => x"c312",
000747 => x"100c",
000748 => x"c312",
000749 => x"100c",
000750 => x"c312",
000751 => x"100c",
000752 => x"c312",
000753 => x"100c",
000754 => x"4130",
000755 => x"533d",
000756 => x"c312",
000757 => x"100c",
000758 => x"930d",
000759 => x"23fb",
000760 => x"4130",
000761 => x"c312",
000762 => x"100d",
000763 => x"100c",
000764 => x"c312",
000765 => x"100d",
000766 => x"100c",
000767 => x"c312",
000768 => x"100d",
000769 => x"100c",
000770 => x"c312",
000771 => x"100d",
000772 => x"100c",
000773 => x"c312",
000774 => x"100d",
000775 => x"100c",
000776 => x"c312",
000777 => x"100d",
000778 => x"100c",
000779 => x"c312",
000780 => x"100d",
000781 => x"100c",
000782 => x"c312",
000783 => x"100d",
000784 => x"100c",
000785 => x"c312",
000786 => x"100d",
000787 => x"100c",
000788 => x"c312",
000789 => x"100d",
000790 => x"100c",
000791 => x"c312",
000792 => x"100d",
000793 => x"100c",
000794 => x"c312",
000795 => x"100d",
000796 => x"100c",
000797 => x"c312",
000798 => x"100d",
000799 => x"100c",
000800 => x"c312",
000801 => x"100d",
000802 => x"100c",
000803 => x"c312",
000804 => x"100d",
000805 => x"100c",
000806 => x"4130",
000807 => x"533e",
000808 => x"c312",
000809 => x"100d",
000810 => x"100c",
000811 => x"930e",
000812 => x"23fa",
000813 => x"4130",
000814 => x"6f42",
000815 => x"746f",
000816 => x"6e69",
000817 => x"2e67",
000818 => x"2e2e",
000819 => x"000a",
000820 => x"070a",
000821 => x"5245",
000822 => x"4f52",
000823 => x"2052",
000824 => x"4100",
000825 => x"6177",
000826 => x"7469",
000827 => x"6e69",
000828 => x"2067",
000829 => x"4942",
000830 => x"454e",
000831 => x"4558",
000832 => x"2e2e",
000833 => x"202e",
000834 => x"4c00",
000835 => x"616f",
000836 => x"6964",
000837 => x"676e",
000838 => x"2e2e",
000839 => x"202e",
000840 => x"4f00",
000841 => x"004b",
000842 => x"0a0a",
000843 => x"454e",
000844 => x"344f",
000845 => x"3033",
000846 => x"4220",
000847 => x"6f6f",
000848 => x"6c74",
000849 => x"616f",
000850 => x"6564",
000851 => x"2072",
000852 => x"3256",
000853 => x"3130",
000854 => x"3037",
000855 => x"3138",
000856 => x"2038",
000857 => x"7962",
000858 => x"5320",
000859 => x"6574",
000860 => x"6870",
000861 => x"6e61",
000862 => x"4e20",
000863 => x"6c6f",
000864 => x"6974",
000865 => x"676e",
000866 => x"0a0a",
000867 => x"5748",
000868 => x"3a56",
000869 => x"3020",
000870 => x"0078",
000871 => x"430a",
000872 => x"4b4c",
000873 => x"203a",
000874 => x"7830",
000875 => x"0a00",
000876 => x"4f52",
000877 => x"3a4d",
000878 => x"3020",
000879 => x"0078",
000880 => x"520a",
000881 => x"4d41",
000882 => x"203a",
000883 => x"7830",
000884 => x"0a00",
000885 => x"5953",
000886 => x"3a53",
000887 => x"3020",
000888 => x"0078",
000889 => x"0a0a",
000890 => x"7541",
000891 => x"6f74",
000892 => x"6f62",
000893 => x"746f",
000894 => x"6920",
000895 => x"206e",
000896 => x"7338",
000897 => x"202e",
000898 => x"7250",
000899 => x"7365",
000900 => x"2073",
000901 => x"656b",
000902 => x"2079",
000903 => x"6f74",
000904 => x"6120",
000905 => x"6f62",
000906 => x"7472",
000907 => x"0a2e",
000908 => x"0a00",
000909 => x"4100",
000910 => x"6f62",
000911 => x"7472",
000912 => x"6465",
000913 => x"0a2e",
000914 => x"000a",
000915 => x"3a64",
000916 => x"4420",
000917 => x"6d75",
000918 => x"0a70",
000919 => x"3a65",
000920 => x"4c20",
000921 => x"616f",
000922 => x"2064",
000923 => x"4545",
000924 => x"5250",
000925 => x"4d4f",
000926 => x"680a",
000927 => x"203a",
000928 => x"6548",
000929 => x"706c",
000930 => x"700a",
000931 => x"203a",
000932 => x"7453",
000933 => x"726f",
000934 => x"2065",
000935 => x"4545",
000936 => x"5250",
000937 => x"4d4f",
000938 => x"720a",
000939 => x"203a",
000940 => x"6552",
000941 => x"7473",
000942 => x"7261",
000943 => x"0a74",
000944 => x"3a73",
000945 => x"5320",
000946 => x"6174",
000947 => x"7472",
000948 => x"6120",
000949 => x"7070",
000950 => x"750a",
000951 => x"203a",
000952 => x"7055",
000953 => x"6f6c",
000954 => x"6461",
000955 => x"0a00",
000956 => x"4d43",
000957 => x"3a44",
000958 => x"203e",
000959 => x"3a00",
000960 => x"2020",
000961 => x"2000",
000962 => x"007c",
000963 => x"7250",
000964 => x"636f",
000965 => x"6565",
000966 => x"2064",
000967 => x"7928",
000968 => x"6e2f",
000969 => x"3f29",
000970 => x"0a00",
000971 => x"7257",
000972 => x"7469",
000973 => x"6e69",
000974 => x"2e67",
000975 => x"2e2e",
000976 => x"0020",
000977 => x"6142",
000978 => x"2064",
000979 => x"4d43",
000980 => x"2144",
000981 => x"0000",
000418 => x"533c",
000419 => x"4c82",
000420 => x"ffc2",
000421 => x"4382",
000422 => x"fffc",
000423 => x"40b2",
000424 => x"007f",
000425 => x"ffc4",
000426 => x"4382",
000427 => x"ffc0",
000428 => x"d032",
000429 => x"4000",
000430 => x"d232",
000431 => x"403a",
000432 => x"f042",
000433 => x"403c",
000434 => x"f692",
000435 => x"128a",
000436 => x"4038",
000437 => x"f1de",
000438 => x"421c",
000439 => x"ffe0",
000440 => x"1288",
000441 => x"403c",
000442 => x"f6cc",
000443 => x"128a",
000444 => x"462c",
000445 => x"1288",
000446 => x"421c",
000447 => x"ffec",
000448 => x"1288",
000449 => x"403c",
000450 => x"f6d5",
000451 => x"128a",
000452 => x"421c",
000453 => x"ffe6",
000454 => x"1288",
000455 => x"403c",
000456 => x"f6de",
000457 => x"128a",
000458 => x"421c",
000459 => x"ffea",
000460 => x"1288",
000461 => x"403c",
000462 => x"f6e7",
000463 => x"128a",
000464 => x"421c",
000465 => x"ffe2",
000466 => x"1288",
000467 => x"403c",
000468 => x"f6f0",
000469 => x"128a",
000470 => x"403d",
000471 => x"fffc",
000472 => x"4d2c",
000473 => x"903c",
000474 => x"0020",
000475 => x"201d",
000476 => x"435c",
000477 => x"12b0",
000478 => x"f212",
000479 => x"403c",
000480 => x"f717",
000481 => x"128a",
000482 => x"12b0",
000483 => x"f072",
000484 => x"503e",
000485 => x"6a00",
000486 => x"633f",
000487 => x"531c",
000488 => x"4030",
000489 => x"f2f4",
000490 => x"936a",
000491 => x"2402",
000492 => x"926a",
000493 => x"2007",
000494 => x"12b0",
000495 => x"f5d6",
000496 => x"535a",
000497 => x"f03a",
000498 => x"00ff",
000499 => x"4030",
000500 => x"f302",
000501 => x"12b0",
000502 => x"f5de",
000503 => x"4030",
000504 => x"f3e0",
000505 => x"472c",
000506 => x"930c",
000507 => x"37dc",
000508 => x"403c",
000509 => x"f719",
000510 => x"128a",
000511 => x"403c",
000512 => x"f724",
000513 => x"128a",
000514 => x"4035",
000515 => x"ffa2",
000516 => x"4037",
000517 => x"f02e",
000518 => x"403c",
000519 => x"f775",
000520 => x"128a",
000521 => x"452c",
000522 => x"930c",
000523 => x"37fd",
000524 => x"4c48",
000525 => x"484c",
000526 => x"1287",
000527 => x"403c",
000528 => x"f717",
000529 => x"128a",
000530 => x"9078",
000531 => x"0072",
000532 => x"2004",
000533 => x"4030",
000534 => x"f000",
000535 => x"4030",
000536 => x"f40c",
000537 => x"9078",
000538 => x"0068",
000539 => x"2005",
000540 => x"403c",
000541 => x"f724",
000542 => x"128a",
000543 => x"4030",
000544 => x"f40c",
000545 => x"9078",
000546 => x"0064",
000547 => x"203b",
000548 => x"4348",
000549 => x"403c",
000550 => x"f717",
000551 => x"128a",
000552 => x"480c",
000553 => x"12b0",
000554 => x"f1de",
000555 => x"403c",
000556 => x"f77d",
000557 => x"128a",
000558 => x"480d",
000559 => x"503d",
000560 => x"0010",
000561 => x"4d81",
000562 => x"0006",
000563 => x"4806",
000564 => x"466c",
000565 => x"12b0",
000566 => x"f18c",
000567 => x"407c",
000568 => x"0020",
000569 => x"1287",
000570 => x"5316",
000571 => x"9681",
000572 => x"0006",
000573 => x"23f6",
000574 => x"403c",
000575 => x"f781",
000576 => x"128a",
000577 => x"4804",
000578 => x"446c",
000579 => x"4c4e",
000580 => x"507e",
000581 => x"ffe0",
000582 => x"407d",
000583 => x"005e",
000584 => x"9e4d",
000585 => x"2811",
000586 => x"1287",
000587 => x"5314",
000588 => x"9406",
000589 => x"23f4",
000590 => x"407c",
000591 => x"007c",
000592 => x"1287",
000593 => x"452c",
000594 => x"930c",
000595 => x"3bb2",
000596 => x"9038",
000597 => x"fff0",
000598 => x"27af",
000599 => x"4118",
000600 => x"0006",
000601 => x"4030",
000602 => x"f44a",
000603 => x"407c",
000604 => x"002e",
000605 => x"4030",
000606 => x"f494",
000607 => x"9078",
000608 => x"0075",
000609 => x"2005",
000610 => x"434c",
000611 => x"12b0",
000612 => x"f212",
000613 => x"4030",
000614 => x"f40c",
000615 => x"9078",
000616 => x"0070",
000617 => x"2054",
000618 => x"403c",
000619 => x"f784",
000620 => x"128a",
000621 => x"452c",
000622 => x"930c",
000623 => x"37fd",
000624 => x"907c",
000625 => x"0079",
000626 => x"2393",
000627 => x"403c",
000628 => x"f793",
000629 => x"128a",
000630 => x"4038",
000631 => x"ffa6",
000632 => x"d0b8",
000633 => x"0400",
000634 => x"0000",
000635 => x"407c",
000636 => x"0006",
000637 => x"1289",
000638 => x"f0b8",
000639 => x"fbff",
000640 => x"0000",
000641 => x"d0b8",
000642 => x"0400",
000643 => x"0000",
000644 => x"407c",
000645 => x"0005",
000646 => x"1289",
000647 => x"434c",
000648 => x"1289",
000649 => x"f0b8",
000650 => x"fbff",
000651 => x"0000",
000652 => x"f07c",
000653 => x"ff8f",
000654 => x"936c",
000655 => x"2403",
000656 => x"434c",
000657 => x"12b0",
000658 => x"f1f6",
000659 => x"4038",
000660 => x"f11c",
000661 => x"403d",
000662 => x"cafe",
000663 => x"434c",
000664 => x"1288",
000665 => x"4216",
000666 => x"ffe6",
000667 => x"460d",
000668 => x"436c",
000669 => x"1288",
000670 => x"434f",
000671 => x"4f0e",
000672 => x"4e0c",
000673 => x"503c",
000674 => x"0006",
000675 => x"960e",
000676 => x"2807",
000677 => x"4f0d",
000678 => x"426c",
000679 => x"1288",
000680 => x"403c",
000681 => x"f68f",
000682 => x"4030",
000683 => x"f43c",
000684 => x"4e2b",
000685 => x"4b0d",
000686 => x"4b81",
000687 => x"0002",
000688 => x"4e81",
000689 => x"0004",
000690 => x"4f81",
000691 => x"0000",
000692 => x"1288",
000693 => x"411b",
000694 => x"0002",
000695 => x"412f",
000696 => x"eb0f",
000697 => x"411e",
000698 => x"0004",
000699 => x"532e",
000700 => x"4030",
000701 => x"f540",
000702 => x"9078",
000703 => x"0065",
000704 => x"2003",
000705 => x"435c",
000706 => x"4030",
000707 => x"f4c6",
000708 => x"9078",
000709 => x"0073",
000710 => x"271b",
000711 => x"403c",
000712 => x"f7a0",
000713 => x"4030",
000714 => x"f43c",
000715 => x"4134",
000716 => x"4135",
000717 => x"4136",
000718 => x"4137",
000719 => x"4138",
000720 => x"4139",
000721 => x"413a",
000722 => x"4130",
000723 => x"c312",
000724 => x"100c",
000725 => x"c312",
000726 => x"100c",
000727 => x"c312",
000728 => x"100c",
000729 => x"c312",
000730 => x"100c",
000731 => x"c312",
000732 => x"100c",
000733 => x"c312",
000734 => x"100c",
000735 => x"c312",
000736 => x"100c",
000737 => x"c312",
000738 => x"100c",
000739 => x"c312",
000740 => x"100c",
000741 => x"c312",
000742 => x"100c",
000743 => x"c312",
000744 => x"100c",
000745 => x"c312",
000746 => x"100c",
000747 => x"c312",
000748 => x"100c",
000749 => x"c312",
000750 => x"100c",
000751 => x"c312",
000752 => x"100c",
000753 => x"4130",
000754 => x"533d",
000755 => x"c312",
000756 => x"100c",
000757 => x"930d",
000758 => x"23fb",
000759 => x"4130",
000760 => x"c312",
000761 => x"100d",
000762 => x"100c",
000763 => x"c312",
000764 => x"100d",
000765 => x"100c",
000766 => x"c312",
000767 => x"100d",
000768 => x"100c",
000769 => x"c312",
000770 => x"100d",
000771 => x"100c",
000772 => x"c312",
000773 => x"100d",
000774 => x"100c",
000775 => x"c312",
000776 => x"100d",
000777 => x"100c",
000778 => x"c312",
000779 => x"100d",
000780 => x"100c",
000781 => x"c312",
000782 => x"100d",
000783 => x"100c",
000784 => x"c312",
000785 => x"100d",
000786 => x"100c",
000787 => x"c312",
000788 => x"100d",
000789 => x"100c",
000790 => x"c312",
000791 => x"100d",
000792 => x"100c",
000793 => x"c312",
000794 => x"100d",
000795 => x"100c",
000796 => x"c312",
000797 => x"100d",
000798 => x"100c",
000799 => x"c312",
000800 => x"100d",
000801 => x"100c",
000802 => x"c312",
000803 => x"100d",
000804 => x"100c",
000805 => x"4130",
000806 => x"533e",
000807 => x"c312",
000808 => x"100d",
000809 => x"100c",
000810 => x"930e",
000811 => x"23fa",
000812 => x"4130",
000813 => x"6f42",
000814 => x"746f",
000815 => x"6e69",
000816 => x"2e67",
000817 => x"2e2e",
000818 => x"000a",
000819 => x"070a",
000820 => x"5245",
000821 => x"4f52",
000822 => x"2052",
000823 => x"4100",
000824 => x"6177",
000825 => x"7469",
000826 => x"6e69",
000827 => x"2067",
000828 => x"4942",
000829 => x"454e",
000830 => x"4558",
000831 => x"2e2e",
000832 => x"202e",
000833 => x"4c00",
000834 => x"616f",
000835 => x"6964",
000836 => x"676e",
000837 => x"2e2e",
000838 => x"202e",
000839 => x"4f00",
000840 => x"004b",
000841 => x"0a0a",
000842 => x"454e",
000843 => x"344f",
000844 => x"3033",
000845 => x"4220",
000846 => x"6f6f",
000847 => x"6c74",
000848 => x"616f",
000849 => x"6564",
000850 => x"2072",
000851 => x"3256",
000852 => x"3130",
000853 => x"3037",
000854 => x"3138",
000855 => x"2038",
000856 => x"7962",
000857 => x"5320",
000858 => x"6574",
000859 => x"6870",
000860 => x"6e61",
000861 => x"4e20",
000862 => x"6c6f",
000863 => x"6974",
000864 => x"676e",
000865 => x"0a0a",
000866 => x"5748",
000867 => x"3a56",
000868 => x"3020",
000869 => x"0078",
000870 => x"430a",
000871 => x"4b4c",
000872 => x"203a",
000873 => x"7830",
000874 => x"0a00",
000875 => x"4f52",
000876 => x"3a4d",
000877 => x"3020",
000878 => x"0078",
000879 => x"520a",
000880 => x"4d41",
000881 => x"203a",
000882 => x"7830",
000883 => x"0a00",
000884 => x"5953",
000885 => x"3a53",
000886 => x"3020",
000887 => x"0078",
000888 => x"0a0a",
000889 => x"7541",
000890 => x"6f74",
000891 => x"6f62",
000892 => x"746f",
000893 => x"6920",
000894 => x"206e",
000895 => x"7338",
000896 => x"202e",
000897 => x"7250",
000898 => x"7365",
000899 => x"2073",
000900 => x"656b",
000901 => x"2079",
000902 => x"6f74",
000903 => x"6120",
000904 => x"6f62",
000905 => x"7472",
000906 => x"0a2e",
000907 => x"0a00",
000908 => x"4100",
000909 => x"6f62",
000910 => x"7472",
000911 => x"6465",
000912 => x"0a2e",
000913 => x"000a",
000914 => x"3a64",
000915 => x"4420",
000916 => x"6d75",
000917 => x"0a70",
000918 => x"3a65",
000919 => x"4c20",
000920 => x"616f",
000921 => x"2064",
000922 => x"4545",
000923 => x"5250",
000924 => x"4d4f",
000925 => x"680a",
000926 => x"203a",
000927 => x"6548",
000928 => x"706c",
000929 => x"700a",
000930 => x"203a",
000931 => x"7453",
000932 => x"726f",
000933 => x"2065",
000934 => x"4545",
000935 => x"5250",
000936 => x"4d4f",
000937 => x"720a",
000938 => x"203a",
000939 => x"6552",
000940 => x"7473",
000941 => x"7261",
000942 => x"0a74",
000943 => x"3a73",
000944 => x"5320",
000945 => x"6174",
000946 => x"7472",
000947 => x"6120",
000948 => x"7070",
000949 => x"750a",
000950 => x"203a",
000951 => x"7055",
000952 => x"6f6c",
000953 => x"6461",
000954 => x"0a00",
000955 => x"4d43",
000956 => x"3a44",
000957 => x"203e",
000958 => x"3a00",
000959 => x"2020",
000960 => x"2000",
000961 => x"007c",
000962 => x"7250",
000963 => x"636f",
000964 => x"6565",
000965 => x"2064",
000966 => x"7928",
000967 => x"6e2f",
000968 => x"3f29",
000969 => x"0a00",
000970 => x"7257",
000971 => x"7469",
000972 => x"6e69",
000973 => x"2e67",
000974 => x"2e2e",
000975 => x"0020",
000976 => x"6142",
000977 => x"2064",
000978 => x"4d43",
000979 => x"2144",
000980 => x"0000",
others => x"0000" -- nop
);
 
/trunk/sw/bootloader/bootloader.c
212,8 → 212,8
* ------------------------------------------------------------ */
void start_app(void) {
 
// deactivate IRQs, no more write access to IMEM
asm volatile ("mov #0, r2");
// deactivate IRQs, no more write access to IMEM, clear all pending IRQ
asm volatile ("mov %0, r2" : : "i" (1<<Q_FLAG));
 
uart_br_print("Booting...\n");
 
220,9 → 220,6
// wait for UART to finish transmitting
while(USI_CT & (1<<USI_CT_UARTTXBSY));
 
// clear all pending interrupts
clear_irq_buffer();
 
// start app in IMEM at address 0x0000
while (1) {
asm volatile ("mov #0x0000, r0");
/trunk/sw/common/crt0.asm
91,9 → 91,9
 
 
; -----------------------------------------------------------
; Clear all pending IRQ from buffer
; Re-init SR and clear all pending IRQ from buffer
; -----------------------------------------------------------
bis #(1<<14), r2 ; this flag auto clears
mov #(1<<14), r2 ; this flag auto clears
 
 
; -----------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.