OpenCores
URL https://opencores.org/ocsvn/neo430/neo430/trunk

Subversion Repositories neo430

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /neo430
    from Rev 150 to Rev 151
    Reverse comparison

Rev 150 → Rev 151

/trunk/.github/ISSUE_TEMPLATE/bug_report.md
0,0 → 1,24
---
name: Bug report
about: Create a report to help us improve
 
---
 
**Describe the bug**
A clear and concise description of what the bug is.
 
**To Reproduce**
Steps to reproduce the behavior:
1. Processor configuration
2. FPGA type / simulator / EDA tools
3. Executed code, used libraries, ...
4. Board / simulation configuration
 
**Expected behavior**
A clear and concise description of what you expected to happen.
 
**Screenshots**
If applicable, add screenshots to help explain your problem.
 
**Additional context**
Add any other context about the problem here.
/trunk/.github/ISSUE_TEMPLATE/feature_request.md
0,0 → 1,17
---
name: Feature request
about: Suggest an idea for this project
 
---
 
**Is your feature request related to a problem? Please describe.**
A clear and concise description of what the problem is. Ex. I'm always frustrated when [...]
 
**Describe the solution you'd like**
A clear and concise description of what you want to happen.
 
**Describe alternatives you've considered**
A clear and concise description of any alternative solutions or features you've considered.
 
**Additional context**
Add any other context or screenshots about the feature request here.
/trunk/rtl/core/neo430_application_image.vhd
191,8 → 191,8
000180 => x"00ff",
000181 => x"4030",
000182 => x"00bc",
000183 => x"12b0",
000184 => x"01ca",
000183 => x"c312",
000184 => x"100c",
000185 => x"4030",
000186 => x"0164",
000187 => x"403c",
/trunk/rtl/core/neo430_bootloader_image.vhd
203,7 → 203,7
000192 => x"f0ba",
000193 => x"fbff",
000194 => x"0000",
000195 => x"b31c",
000195 => x"b35c",
000196 => x"23f4",
000197 => x"4030",
000198 => x"f5b8",
218,7 → 218,7
000207 => x"407d",
000208 => x"0009",
000209 => x"9c4d",
000210 => x"2815",
000210 => x"2813",
000211 => x"507c",
000212 => x"0030",
000213 => x"f03c",
232,137 → 232,137
000221 => x"407d",
000222 => x"0009",
000223 => x"9c4d",
000224 => x"280b",
000225 => x"507c",
000224 => x"2809",
000225 => x"503c",
000226 => x"0030",
000227 => x"f03c",
000228 => x"00ff",
000229 => x"1289",
000230 => x"4030",
000231 => x"f5bc",
000232 => x"507c",
000233 => x"0037",
000234 => x"4030",
000235 => x"f1aa",
000236 => x"507c",
000237 => x"0037",
000238 => x"4030",
000239 => x"f1c6",
000240 => x"120a",
000241 => x"1209",
000242 => x"4c09",
000243 => x"12b0",
000244 => x"f5de",
000245 => x"403a",
000246 => x"f18e",
000227 => x"1289",
000228 => x"4030",
000229 => x"f5bc",
000230 => x"507c",
000231 => x"0037",
000232 => x"4030",
000233 => x"f1aa",
000234 => x"503c",
000235 => x"0037",
000236 => x"4030",
000237 => x"f1c6",
000238 => x"120a",
000239 => x"1209",
000240 => x"4c09",
000241 => x"12b0",
000242 => x"f5de",
000243 => x"403a",
000244 => x"f18e",
000245 => x"128a",
000246 => x"494c",
000247 => x"128a",
000248 => x"494c",
000249 => x"128a",
000250 => x"4030",
000251 => x"f5bc",
000252 => x"120a",
000253 => x"4c4a",
000254 => x"403c",
000255 => x"f6aa",
000256 => x"12b0",
000257 => x"f038",
000258 => x"4a4c",
000259 => x"12b0",
000260 => x"f18e",
000261 => x"4302",
000262 => x"4392",
000263 => x"ffae",
000264 => x"4030",
000265 => x"f210",
000266 => x"120a",
000267 => x"1209",
000268 => x"1208",
000269 => x"1207",
000270 => x"1206",
000271 => x"1205",
000272 => x"1204",
000273 => x"8321",
000274 => x"4c48",
000275 => x"b0b2",
000276 => x"0100",
000277 => x"fff2",
000278 => x"2403",
000279 => x"435c",
000280 => x"12b0",
000281 => x"f1f8",
000282 => x"4037",
000283 => x"f038",
000284 => x"9308",
000285 => x"200e",
000286 => x"403c",
000287 => x"f6b1",
000288 => x"1287",
000289 => x"4039",
000290 => x"f0b4",
000291 => x"484d",
000292 => x"434c",
000293 => x"1289",
000294 => x"903c",
000295 => x"cafe",
000296 => x"2407",
000297 => x"436c",
000298 => x"4030",
000299 => x"f230",
000300 => x"403c",
000301 => x"f6c5",
000302 => x"4030",
000303 => x"f240",
000304 => x"484d",
000305 => x"436c",
000306 => x"1289",
000307 => x"4c05",
000308 => x"484d",
000309 => x"426c",
000310 => x"1289",
000311 => x"4c81",
000312 => x"0000",
000313 => x"4214",
000314 => x"fff6",
000315 => x"9504",
000316 => x"2813",
000317 => x"434a",
000318 => x"4a06",
000319 => x"4a0c",
000320 => x"503c",
000321 => x"0006",
000322 => x"950a",
000323 => x"280f",
000324 => x"940a",
000325 => x"2815",
000326 => x"9126",
000327 => x"2018",
000328 => x"403c",
000329 => x"f6d1",
000330 => x"1287",
000331 => x"4392",
000332 => x"8002",
000333 => x"5321",
000334 => x"4030",
000335 => x"f5b2",
000336 => x"426c",
000337 => x"4030",
000338 => x"f230",
000339 => x"484d",
000340 => x"1289",
000341 => x"ec06",
000342 => x"4c8a",
000248 => x"4030",
000249 => x"f5bc",
000250 => x"120a",
000251 => x"4c4a",
000252 => x"403c",
000253 => x"f6aa",
000254 => x"12b0",
000255 => x"f038",
000256 => x"4a4c",
000257 => x"12b0",
000258 => x"f18e",
000259 => x"4302",
000260 => x"4392",
000261 => x"ffae",
000262 => x"4030",
000263 => x"f20c",
000264 => x"120a",
000265 => x"1209",
000266 => x"1208",
000267 => x"1207",
000268 => x"1206",
000269 => x"1205",
000270 => x"1204",
000271 => x"8321",
000272 => x"4c49",
000273 => x"b0b2",
000274 => x"0100",
000275 => x"fff2",
000276 => x"2403",
000277 => x"435c",
000278 => x"12b0",
000279 => x"f1f4",
000280 => x"4037",
000281 => x"f038",
000282 => x"9309",
000283 => x"200f",
000284 => x"403c",
000285 => x"f6b1",
000286 => x"1287",
000287 => x"403a",
000288 => x"f0b4",
000289 => x"494d",
000290 => x"434c",
000291 => x"128a",
000292 => x"4a04",
000293 => x"903c",
000294 => x"cafe",
000295 => x"2407",
000296 => x"436c",
000297 => x"4030",
000298 => x"f22c",
000299 => x"403c",
000300 => x"f6c5",
000301 => x"4030",
000302 => x"f23c",
000303 => x"494d",
000304 => x"436c",
000305 => x"128a",
000306 => x"4c06",
000307 => x"494d",
000308 => x"426c",
000309 => x"128a",
000310 => x"4c81",
000311 => x"0000",
000312 => x"4215",
000313 => x"fff6",
000314 => x"9605",
000315 => x"2c16",
000316 => x"426c",
000317 => x"4030",
000318 => x"f22c",
000319 => x"494d",
000320 => x"1284",
000321 => x"ec08",
000322 => x"4c8a",
000323 => x"0000",
000324 => x"532a",
000325 => x"4a0d",
000326 => x"4a0c",
000327 => x"503c",
000328 => x"0006",
000329 => x"960a",
000330 => x"2bf4",
000331 => x"950d",
000332 => x"2809",
000333 => x"9128",
000334 => x"240c",
000335 => x"427c",
000336 => x"4030",
000337 => x"f22c",
000338 => x"4348",
000339 => x"480a",
000340 => x"4030",
000341 => x"f28a",
000342 => x"438d",
000343 => x"0000",
000344 => x"532a",
000344 => x"532d",
000345 => x"4030",
000346 => x"f27e",
000347 => x"438a",
000348 => x"0000",
000349 => x"532a",
000350 => x"4030",
000351 => x"f288",
000352 => x"427c",
000346 => x"f296",
000347 => x"403c",
000348 => x"f6d1",
000349 => x"1287",
000350 => x"4392",
000351 => x"8002",
000352 => x"5321",
000353 => x"4030",
000354 => x"f230",
000354 => x"f5b2",
000355 => x"120a",
000356 => x"1209",
000357 => x"1208",
406,9 → 406,9
000395 => x"95ff",
000396 => x"9e0d",
000397 => x"2884",
000398 => x"407d",
000398 => x"407e",
000399 => x"00ff",
000400 => x"9c0d",
000400 => x"9c0e",
000401 => x"2886",
000402 => x"4a0d",
000403 => x"5a0d",
457,7 → 457,7
000446 => x"f6d4",
000447 => x"128a",
000448 => x"4039",
000449 => x"f1e0",
000449 => x"f1dc",
000450 => x"421c",
000451 => x"fff0",
000452 => x"1289",
493,7 → 493,7
000482 => x"4037",
000483 => x"8004",
000484 => x"4036",
000485 => x"f214",
000485 => x"f210",
000486 => x"4035",
000487 => x"f072",
000488 => x"472c",
555,8 → 555,8
000544 => x"00ff",
000545 => x"4030",
000546 => x"f31c",
000547 => x"12b0",
000548 => x"f5fa",
000547 => x"c312",
000548 => x"100c",
000549 => x"4030",
000550 => x"f43c",
000551 => x"9077",
574,43 → 574,43
000563 => x"403c",
000564 => x"f759",
000565 => x"128a",
000566 => x"470c",
000567 => x"12b0",
000568 => x"f1e0",
000569 => x"403c",
000570 => x"f7d4",
000571 => x"128a",
000572 => x"470d",
000573 => x"503d",
000574 => x"0010",
000575 => x"4d81",
000576 => x"0004",
000577 => x"4705",
000578 => x"456c",
000579 => x"12b0",
000580 => x"f18e",
000581 => x"407c",
000582 => x"0020",
000583 => x"1286",
000584 => x"5315",
000585 => x"9581",
000586 => x"0004",
000587 => x"23f6",
000588 => x"403c",
000589 => x"f7d8",
000590 => x"128a",
000591 => x"4704",
000566 => x"4704",
000567 => x"470c",
000568 => x"12b0",
000569 => x"f1dc",
000570 => x"403c",
000571 => x"f7d4",
000572 => x"128a",
000573 => x"470d",
000574 => x"503d",
000575 => x"0010",
000576 => x"4d81",
000577 => x"0004",
000578 => x"4705",
000579 => x"456c",
000580 => x"12b0",
000581 => x"f18e",
000582 => x"407c",
000583 => x"0020",
000584 => x"1286",
000585 => x"5315",
000586 => x"9115",
000587 => x"0004",
000588 => x"23f6",
000589 => x"403c",
000590 => x"f7d8",
000591 => x"128a",
000592 => x"446c",
000593 => x"4c4e",
000594 => x"507e",
000593 => x"4c4d",
000594 => x"507d",
000595 => x"ffe0",
000596 => x"407d",
000596 => x"407e",
000597 => x"005e",
000598 => x"9e4d",
000598 => x"9d4e",
000599 => x"2811",
000600 => x"1286",
000601 => x"5314",
000602 => x"9405",
000602 => x"9504",
000603 => x"23f4",
000604 => x"407c",
000605 => x"007c",
634,7 → 634,7
000623 => x"2005",
000624 => x"434c",
000625 => x"12b0",
000626 => x"f214",
000626 => x"f210",
000627 => x"4030",
000628 => x"f3fe",
000629 => x"9077",
680,7 → 680,7
000669 => x"2403",
000670 => x"434c",
000671 => x"12b0",
000672 => x"f1f8",
000672 => x"f1f4",
000673 => x"4037",
000674 => x"f11e",
000675 => x"403d",
/trunk/sw/bootloader/Makefile
22,7 → 22,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 16.10.2017 #
# Stephan Nolting, Hannover, Germany 29.06.2018 #
#################################################################################################
 
 
30,7 → 30,7
# USER CONFIGURATION
#-------------------------------------------------------------------------------
# Path of compiler binaries:
MSP430GCC_BIN_PATH ?= /mnt/c/msp430-gcc-6.4.0.32_linux64/bin
MSP430GCC_BIN_PATH ?= /mnt/c/msp430-gcc-7.3.1.24_linux64/bin
 
#-------------------------------------------------------------------------------
# Make defaults
/trunk/sw/bootloader/make.bat
22,13 → 22,13
@REM # You should have received a copy of the GNU Lesser General Public License along with this #
@REM # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
@REM # ********************************************************************************************* #
@REM # Stephan Nolting, Hannover, Germany 16.10.2017 #
@REM # Stephan Nolting, Hannover, Germany 29.06.2018 #
@REM #################################################################################################
 
 
@REM ----------------------USER CONFIGURATION----------------------
@REM Path of MSPGCC binaries:
@if "%MSP430GCC_BIN_PATH%" == "" set MSP430GCC_BIN_PATH=C:\msp430-gcc-6.4.0.32_win32\bin
@if "%MSP430GCC_BIN_PATH%" == "" set MSP430GCC_BIN_PATH=C:\msp430-gcc-7.3.1.24_win64\bin
@REM --------------------------------------------------------------
 
@REM Tools
/trunk/sw/common/Makefile
22,7 → 22,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 28.01.2018 #
# Stephan Nolting, Hannover, Germany 29.06.2018 #
#################################################################################################
 
 
30,7 → 30,7
# USER CONFIGURATION
#-------------------------------------------------------------------------------
# Path of compiler binaries:
MSP430GCC_BIN_PATH ?= /mnt/c/msp430-gcc-6.4.0.32_linux64/bin
MSP430GCC_BIN_PATH ?= /mnt/c/msp430-gcc-7.3.1.24_linux64/bin
 
# Compiler effort (-Os = optimize for size)
EFFORT = -Os
/trunk/sw/common/compile.bat
22,13 → 22,13
@REM # You should have received a copy of the GNU Lesser General Public License along with this #
@REM # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
@REM # ********************************************************************************************* #
@REM # Stephan Nolting, Hannover, Germany 28.01.2018 #
@REM # Stephan Nolting, Hannover, Germany 29.06.2018 #
@REM #################################################################################################
 
 
@REM ----------------------USER CONFIGURATION----------------------
@REM Path of compiler binaries:
@if "%MSP430GCC_BIN_PATH%" == "" set MSP430GCC_BIN_PATH=C:\msp430-gcc-6.4.0.32_win32\bin
@if "%MSP430GCC_BIN_PATH%" == "" set MSP430GCC_BIN_PATH=C:\msp430-gcc-7.3.1.24_win64\bin
 
@REM Compiler effort (-Os = optimize for size)
@set EFFORT=-Os
/trunk/sw/lib/neo430/neo430_gpio.h
28,10 → 28,10
// prototypes
void gpio_pin_set(uint8_t p);
void gpio_pin_clr(uint8_t p);
inline void gpio_port_set(uint16_t d);
inline void gpio_port_toggle(uint16_t d);
void gpio_port_set(uint16_t d);
void gpio_port_toggle(uint16_t d);
void gpio_pin_toggle(uint8_t p);
inline uint16_t gpio_pin_get(uint8_t p);
uint16_t gpio_pin_get(uint8_t p);
uint16_t gpio_port_get(void);
 
 
59,7 → 59,7
* INFO Set output port
* PARAM Output data
* ------------------------------------------------------------ */
inline void gpio_port_set(uint16_t d) {
void gpio_port_set(uint16_t d) {
 
GPIO_OUT = d;
}
69,7 → 69,7
* INFO Toggle output port
* PARAM Toggle output data
* ------------------------------------------------------------ */
inline void gpio_port_toggle(uint16_t d) {
void gpio_port_toggle(uint16_t d) {
 
GPIO_OUT = GPIO_OUT ^ d;
}
100,7 → 100,7
* INFO Get input port
* RETURN Input port state
* ------------------------------------------------------------ */
inline uint16_t gpio_port_get(void) {
uint16_t gpio_port_get(void) {
 
return GPIO_IN;
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.