OpenCores
URL https://opencores.org/ocsvn/neo430/neo430/trunk

Subversion Repositories neo430

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /neo430
    from Rev 165 to Rev 166
    Reverse comparison

Rev 165 → Rev 166

/trunk/README.md
54,19 → 54,19
- Very small outline and high operating frequency
- Internal DMEN (RAM, for data) and IMEM (RAM or ROM, for code), configurable sizes
- One external interrupt line
- Customizable processor hardware configuration
- Optional multiplier/divider unit (MULDIV)
- Optional high-precision timer (TIMER)
- Optional universal asynchronous receiver and transmitter (UART)
- Optional serial peripheral unit (SPI)
- Optional two wire serial interface (TWI)
- Optional general purpose parallel IO port (GPIO), 16 inputs, 16 outputs, with pin-change interrupt
- Optional 32-bit Wishbone bus interface adapter (WB32) - including bridges to Avalon(TM) bus and AXI4-Lite(TM)
- Optional watchdog timer (WDT)
- Optional cyclic redundancy check unit (CRC16/32)
- Optional custom functions unit (CFU) for user-defined processor extensions
- Optional 4 channel PWM controller with 1 to 8 bit resolution (PWM)
- Optional internal bootloader (2kB ROM) with serial user console and automatic boot from external SPI EEPROM
- Customizable processor hardware configuration:
- Optional multiplier/divider unit (MULDIV)
- Optional high-precision timer (TIMER)
- Optional universal asynchronous receiver and transmitter (UART)
- Optional serial peripheral interface (SPI)
- Optional two wire serial interface (TWI)
- Optional general purpose parallel IO port (GPIO), 16 inputs, 16 outputs, with pin-change interrupt
- Optional 32-bit Wishbone bus interface adapter (WB32) - including bridges to Avalon(TM) bus and AXI4-Lite(TM)
- Optional watchdog timer (WDT)
- Optional cyclic redundancy check unit (CRC16/32)
- Optional custom functions unit (CFU) for user-defined processor extensions
- Optional 4 channel PWM controller with 1 to 8 bit resolution (PWM)
- Optional internal bootloader (2kB ROM) with serial user console and automatic boot from external SPI EEPROM
 
 
## Differences to TI's Original MSP430(TM) Processors
87,7 → 87,7
 
Mapping results generated for HW version 0x0300. The full (default) configuration includes
all optional processor modules (excluding the CFU), an IMEM size of 4kB and a DMEM size of 2kB.
Results were generated with Xilinx Vivado 2017.3 and Intel Quartus Prime Lite 17.1.
Results generated with Xilinx Vivado 2017.3, Intel Quartus Prime Lite 17.1 and Lattice Radiant 1.0 (Synplify)
 
| __Xilinx Artix-7 (XC7A35TICSG324-1L)__ | LUTs | FFs | BRAMs | DSPs | f_max* |
|:----------------------------------------|:---------:|:--------:|:--------:|:------:|:-------:|
99,6 → 99,11
| Full (default) configuration: | 1676 (8%) | 940 (4%) | 65792 (11%) | 0 (0%) | 116 MHz |
| Minimal configuration (CPU + GPIO): | 602 (3%) | 228 (1%) | 49408 (8%) | 0 (0%) | 124 MHz |
 
| __Lattice iCE40 UltraPlus (iCE40UP5K-SG48I)__ | LUTs | FFs | EBRs | DSPs | SRAMs | f_max* |
|:-----------------------------------------------|:----------:|:----------:|:--------:|:------:|:------:|:------:|
| Full (default) configuration: | 2843 (54%) | 1153 (22%) | 16 (53%) | 0 (0%) | 0 (0%) | 20 MHz |
| Minimal configuration (CPU + GPIO): | 1470 (28%) | 493 (9%) | 12 (40%) | 0 (0%) | 0 (0%) | 20 MHz |
 
*) Constrained
 
 
143,7 → 148,7
> S. Nolting, "The NEO430 Processor", github.com/stnolting/neo430
 
 
## Proprietary Notice
## Proprietary/Legal Notice
 
"MSP430" is a trademark of Texas Instruments Corporation.
 
153,6 → 158,8
 
"Cyclone", "Quartus" and "Avalon Bus" are trademarks of Intel Corporation.
 
"iCE40 UltraPlus" and "Lattice Radiant" are trademarks of Lattice Semiconductor Corporation.
 
"AXI", "AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.
 
 
/trunk/doc/NEO430.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/trunk/rtl/core/neo430_application_image.vhd
33,9 → 33,9
000022 => x"5328",
000023 => x"3ffa",
000024 => x"4035",
000025 => x"024a",
000025 => x"025e",
000026 => x"4036",
000027 => x"024a",
000027 => x"025e",
000028 => x"4037",
000029 => x"c008",
000030 => x"9506",
54,7 → 54,7
000043 => x"430e",
000044 => x"430f",
000045 => x"12b0",
000046 => x"009e",
000046 => x"006c",
000047 => x"4302",
000048 => x"40b2",
000049 => x"4700",
62,245 → 62,255
000051 => x"4032",
000052 => x"0010",
000053 => x"4303",
000054 => x"403e",
000055 => x"ffa0",
000056 => x"403f",
000057 => x"ffa2",
000058 => x"4c6d",
000059 => x"930d",
000060 => x"2001",
000061 => x"4130",
000062 => x"903d",
000063 => x"000a",
000064 => x"2006",
000065 => x"4e2b",
000066 => x"930b",
000067 => x"3bfd",
000068 => x"40b2",
000069 => x"000d",
000070 => x"ffa2",
000071 => x"4e2b",
000072 => x"930b",
000073 => x"3bfd",
000074 => x"4d8f",
000075 => x"0000",
000076 => x"531c",
000077 => x"4030",
000078 => x"0074",
000079 => x"120a",
000080 => x"1209",
000081 => x"1208",
000082 => x"1207",
000083 => x"421e",
000084 => x"fffc",
000085 => x"421f",
000086 => x"fffe",
000087 => x"434c",
000088 => x"4f0a",
000089 => x"930f",
000090 => x"204f",
000091 => x"403d",
000092 => x"95ff",
000093 => x"9e0d",
000094 => x"284b",
000095 => x"4077",
000096 => x"0003",
000097 => x"407d",
000098 => x"00ff",
000099 => x"9c0d",
000100 => x"284b",
000101 => x"4382",
000102 => x"ffa0",
000103 => x"4a0d",
000104 => x"5a0d",
000105 => x"5d0d",
000106 => x"5d0d",
000107 => x"5d0d",
000108 => x"5d0d",
000109 => x"5d0d",
000110 => x"5d0d",
000111 => x"5d0d",
000112 => x"dc0d",
000113 => x"d03d",
000114 => x"1000",
000115 => x"4d82",
000116 => x"ffa0",
000117 => x"403a",
000118 => x"006c",
000119 => x"403c",
000120 => x"020c",
000121 => x"128a",
000122 => x"b2b2",
000123 => x"fff2",
000124 => x"2443",
000125 => x"434d",
000126 => x"403e",
000127 => x"ffae",
000128 => x"403f",
000129 => x"fffe",
000130 => x"4d4c",
000131 => x"4c8e",
000132 => x"0000",
000133 => x"4f2a",
000134 => x"430b",
000135 => x"4a07",
000136 => x"5a07",
000137 => x"6b0b",
000138 => x"470c",
000139 => x"570c",
000140 => x"4b0a",
000141 => x"6b0a",
000142 => x"570c",
000143 => x"6b0a",
000144 => x"5c0c",
000145 => x"6a0a",
000146 => x"5c0c",
000147 => x"6a0a",
000148 => x"5c0c",
000149 => x"6a0a",
000150 => x"570c",
000151 => x"6b0a",
000152 => x"5c0c",
000153 => x"6a0a",
000154 => x"5c0c",
000155 => x"6a0a",
000156 => x"4c08",
000157 => x"5c08",
000158 => x"4a09",
000159 => x"6a09",
000160 => x"531d",
000161 => x"5338",
000162 => x"6339",
000163 => x"9338",
000164 => x"2002",
000165 => x"9339",
000166 => x"27db",
000167 => x"4303",
000168 => x"4030",
000169 => x"0142",
000170 => x"503e",
000171 => x"6a00",
000172 => x"633f",
000173 => x"531c",
000174 => x"4030",
000175 => x"00b0",
000176 => x"936a",
000177 => x"2402",
000178 => x"926a",
000179 => x"2008",
000180 => x"470d",
000181 => x"12b0",
000182 => x"0206",
000183 => x"535a",
000184 => x"f03a",
000185 => x"00ff",
000186 => x"4030",
000187 => x"00c2",
000188 => x"c312",
000189 => x"100c",
000054 => x"120a",
000055 => x"1209",
000056 => x"1208",
000057 => x"1207",
000058 => x"403c",
000059 => x"4b00",
000060 => x"434d",
000061 => x"12b0",
000062 => x"00bc",
000063 => x"403a",
000064 => x"0150",
000065 => x"403c",
000066 => x"0220",
000067 => x"128a",
000068 => x"b2b2",
000069 => x"fff2",
000070 => x"2411",
000071 => x"434c",
000072 => x"4037",
000073 => x"0180",
000074 => x"4039",
000075 => x"0186",
000076 => x"4078",
000077 => x"00c8",
000078 => x"4c0a",
000079 => x"531a",
000080 => x"f03c",
000081 => x"00ff",
000082 => x"1287",
000083 => x"480c",
000084 => x"1289",
000085 => x"4a0c",
000086 => x"4030",
000087 => x"009c",
000088 => x"403c",
000089 => x"023c",
000090 => x"128a",
000091 => x"435c",
000092 => x"4030",
000093 => x"01b8",
000094 => x"120a",
000095 => x"1209",
000096 => x"421a",
000097 => x"fffc",
000098 => x"421b",
000099 => x"fffe",
000100 => x"4c0e",
000101 => x"5c0e",
000102 => x"4d0f",
000103 => x"6d0f",
000104 => x"434c",
000105 => x"4f09",
000106 => x"9f0b",
000107 => x"2804",
000108 => x"9b09",
000109 => x"201b",
000110 => x"9e0a",
000111 => x"2c19",
000112 => x"434a",
000113 => x"4079",
000114 => x"0003",
000115 => x"407d",
000116 => x"00ff",
000117 => x"9c0d",
000118 => x"2817",
000119 => x"4382",
000120 => x"ffa0",
000121 => x"4a0d",
000122 => x"5a0d",
000123 => x"5d0d",
000124 => x"5d0d",
000125 => x"5d0d",
000126 => x"5d0d",
000127 => x"5d0d",
000128 => x"5d0d",
000129 => x"5d0d",
000130 => x"dc0d",
000131 => x"d03d",
000132 => x"1000",
000133 => x"4d82",
000134 => x"ffa0",
000135 => x"4030",
000136 => x"01bc",
000137 => x"8e0a",
000138 => x"7f0b",
000139 => x"531c",
000140 => x"4030",
000141 => x"00d4",
000142 => x"936a",
000143 => x"2402",
000144 => x"926a",
000145 => x"2008",
000146 => x"490d",
000147 => x"12b0",
000148 => x"01c8",
000149 => x"535a",
000150 => x"f03a",
000151 => x"00ff",
000152 => x"4030",
000153 => x"00e6",
000154 => x"c312",
000155 => x"100c",
000156 => x"4030",
000157 => x"012a",
000158 => x"f03c",
000159 => x"00ff",
000160 => x"403e",
000161 => x"ffa0",
000162 => x"4e2d",
000163 => x"930d",
000164 => x"3bfd",
000165 => x"4c82",
000166 => x"ffa2",
000167 => x"4130",
000168 => x"120a",
000169 => x"1209",
000170 => x"1208",
000171 => x"1207",
000172 => x"4c09",
000173 => x"4038",
000174 => x"013c",
000175 => x"4077",
000176 => x"000d",
000177 => x"496a",
000178 => x"930a",
000179 => x"2002",
000180 => x"4030",
000181 => x"01b8",
000182 => x"903a",
000183 => x"000a",
000184 => x"2002",
000185 => x"474c",
000186 => x"1288",
000187 => x"4a4c",
000188 => x"1288",
000189 => x"5319",
000190 => x"4030",
000191 => x"016e",
000192 => x"403c",
000193 => x"0228",
000194 => x"128a",
000195 => x"435c",
000196 => x"4030",
000197 => x"01f6",
000198 => x"120a",
000199 => x"1209",
000200 => x"9c0d",
000201 => x"2c20",
000202 => x"4d0a",
000203 => x"5e0a",
000204 => x"9a0c",
000205 => x"2c1c",
000206 => x"4e09",
000207 => x"e339",
000208 => x"434d",
000209 => x"533d",
000210 => x"9d09",
000211 => x"2002",
000212 => x"4030",
000213 => x"01fa",
000214 => x"4d0f",
000215 => x"5e0f",
000216 => x"5c0f",
000217 => x"4a0b",
000218 => x"5d0b",
000219 => x"4bef",
000220 => x"0000",
000221 => x"4030",
000222 => x"01a2",
000223 => x"4d0b",
000224 => x"5f0b",
000225 => x"4c0a",
000226 => x"5f0a",
000227 => x"4bea",
000228 => x"0000",
000229 => x"531f",
000230 => x"9f0e",
000231 => x"23f7",
000232 => x"4030",
000233 => x"01a8",
000234 => x"434f",
000235 => x"4030",
000236 => x"01cc",
000237 => x"434f",
000238 => x"9f0e",
000239 => x"2001",
000240 => x"4130",
000241 => x"4c0b",
000242 => x"5f0b",
000243 => x"4dcb",
000244 => x"0000",
000245 => x"531f",
000246 => x"4030",
000247 => x"01dc",
000248 => x"4134",
000249 => x"4135",
000250 => x"4136",
000251 => x"4137",
000252 => x"4138",
000253 => x"4139",
000254 => x"413a",
000255 => x"4130",
000256 => x"533d",
000257 => x"c312",
000258 => x"100c",
000259 => x"930d",
000260 => x"23fb",
000261 => x"4130",
000262 => x"420a",
000263 => x"696c",
000264 => x"6b6e",
000265 => x"6e69",
000266 => x"2067",
000267 => x"454c",
000268 => x"2044",
000269 => x"6564",
000270 => x"6f6d",
000271 => x"7020",
000272 => x"6f72",
000273 => x"7267",
000274 => x"6d61",
000275 => x"000a",
000276 => x"7245",
000277 => x"6f72",
000278 => x"2172",
000279 => x"4e20",
000280 => x"206f",
000281 => x"5047",
000282 => x"4f49",
000283 => x"7520",
000284 => x"696e",
000285 => x"2074",
000286 => x"7973",
000287 => x"746e",
000288 => x"6568",
000289 => x"6973",
000290 => x"657a",
000291 => x"2164",
000292 => x"0000",
000191 => x"0162",
000192 => x"4c82",
000193 => x"ffae",
000194 => x"4130",
000195 => x"421e",
000196 => x"fffe",
000197 => x"430f",
000198 => x"4e0b",
000199 => x"5e0b",
000200 => x"4f0d",
000201 => x"6f0d",
000202 => x"4c0e",
000203 => x"430f",
000204 => x"4b0c",
000205 => x"12b0",
000206 => x"01ce",
000207 => x"533c",
000208 => x"633d",
000209 => x"933c",
000210 => x"2003",
000211 => x"933d",
000212 => x"2001",
000213 => x"4130",
000214 => x"4303",
000215 => x"4030",
000216 => x"019e",
000217 => x"4134",
000218 => x"4135",
000219 => x"4136",
000220 => x"4137",
000221 => x"4138",
000222 => x"4139",
000223 => x"413a",
000224 => x"4130",
000225 => x"533d",
000226 => x"c312",
000227 => x"100c",
000228 => x"930d",
000229 => x"23fb",
000230 => x"4130",
000231 => x"120a",
000232 => x"1209",
000233 => x"1208",
000234 => x"1207",
000235 => x"1206",
000236 => x"4c0a",
000237 => x"4d0b",
000238 => x"407d",
000239 => x"0021",
000240 => x"4348",
000241 => x"4349",
000242 => x"4e0c",
000243 => x"df0c",
000244 => x"930c",
000245 => x"2405",
000246 => x"537d",
000247 => x"f03d",
000248 => x"00ff",
000249 => x"930d",
000250 => x"2004",
000251 => x"480c",
000252 => x"490d",
000253 => x"4030",
000254 => x"01b6",
000255 => x"4e0c",
000256 => x"f35c",
000257 => x"930c",
000258 => x"2402",
000259 => x"5a08",
000260 => x"6b09",
000261 => x"4a06",
000262 => x"4b07",
000263 => x"5a06",
000264 => x"6b07",
000265 => x"460a",
000266 => x"470b",
000267 => x"c312",
000268 => x"100f",
000269 => x"100e",
000270 => x"4030",
000271 => x"01e4",
000272 => x"420a",
000273 => x"696c",
000274 => x"6b6e",
000275 => x"6e69",
000276 => x"2067",
000277 => x"454c",
000278 => x"2044",
000279 => x"6564",
000280 => x"6f6d",
000281 => x"7020",
000282 => x"6f72",
000283 => x"7267",
000284 => x"6d61",
000285 => x"000a",
000286 => x"7245",
000287 => x"6f72",
000288 => x"2172",
000289 => x"4e20",
000290 => x"206f",
000291 => x"5047",
000292 => x"4f49",
000293 => x"7520",
000294 => x"696e",
000295 => x"2074",
000296 => x"7973",
000297 => x"746e",
000298 => x"6568",
000299 => x"6973",
000300 => x"657a",
000301 => x"2164",
000302 => x"0000",
others => x"0000"
);
 
/trunk/rtl/core/neo430_bootloader_image.vhd
13,957 → 13,994
000002 => x"5211",
000003 => x"fffa",
000004 => x"8321",
000005 => x"3d6f",
000006 => x"403c",
000007 => x"ffa4",
000008 => x"f0bc",
000009 => x"fc3f",
000010 => x"0000",
000011 => x"d0bc",
000012 => x"0200",
000013 => x"0000",
000014 => x"4130",
000015 => x"f03c",
000016 => x"00ff",
000017 => x"403e",
000018 => x"ffa0",
000019 => x"4e2d",
000020 => x"930d",
000021 => x"3bfd",
000022 => x"4c82",
000023 => x"ffa2",
000024 => x"4130",
000025 => x"120a",
000026 => x"1209",
000027 => x"4c4a",
000028 => x"4a0c",
000029 => x"426d",
000030 => x"12b0",
000031 => x"f618",
000032 => x"f03c",
000033 => x"00ff",
000034 => x"407d",
000035 => x"0009",
000036 => x"9c4d",
000037 => x"2813",
000038 => x"507c",
000039 => x"0030",
000040 => x"f03c",
000041 => x"00ff",
000042 => x"4039",
000043 => x"f01e",
000044 => x"1289",
000045 => x"4a4c",
000046 => x"f07c",
000047 => x"000f",
000048 => x"407d",
000049 => x"0009",
000050 => x"9c4d",
000051 => x"2809",
000052 => x"503c",
000053 => x"0030",
000054 => x"1289",
000055 => x"4030",
000056 => x"f60c",
000057 => x"507c",
000058 => x"0037",
000005 => x"3d1c",
000006 => x"4c4a",
000007 => x"403c",
000008 => x"f668",
000009 => x"12b0",
000010 => x"f53c",
000011 => x"4a4c",
000012 => x"12b0",
000013 => x"f58a",
000014 => x"4302",
000015 => x"435c",
000016 => x"12b0",
000017 => x"f622",
000018 => x"4030",
000019 => x"f024",
000020 => x"403c",
000021 => x"f66f",
000022 => x"12b0",
000023 => x"f53c",
000024 => x"403d",
000025 => x"ffa0",
000026 => x"4d2c",
000027 => x"930c",
000028 => x"3bfd",
000029 => x"4032",
000030 => x"4000",
000031 => x"4300",
000032 => x"4030",
000033 => x"f03e",
000034 => x"120a",
000035 => x"1209",
000036 => x"4c09",
000037 => x"434c",
000038 => x"12b0",
000039 => x"f5da",
000040 => x"403a",
000041 => x"f608",
000042 => x"407c",
000043 => x"0003",
000044 => x"128a",
000045 => x"490c",
000046 => x"427d",
000047 => x"12b0",
000048 => x"f662",
000049 => x"128a",
000050 => x"494c",
000051 => x"128a",
000052 => x"434c",
000053 => x"128a",
000054 => x"4c4a",
000055 => x"434c",
000056 => x"12b0",
000057 => x"f600",
000058 => x"4a4c",
000059 => x"4030",
000060 => x"f050",
000061 => x"503c",
000062 => x"0037",
000063 => x"4030",
000064 => x"f06c",
000065 => x"120a",
000066 => x"1209",
000067 => x"4c09",
000068 => x"427d",
000069 => x"12b0",
000070 => x"f618",
000071 => x"403a",
000072 => x"f032",
000073 => x"128a",
000074 => x"494c",
000075 => x"128a",
000060 => x"f656",
000061 => x"120a",
000062 => x"1209",
000063 => x"1208",
000064 => x"4c08",
000065 => x"934d",
000066 => x"200b",
000067 => x"4039",
000068 => x"f520",
000069 => x"1289",
000070 => x"4c4a",
000071 => x"1289",
000072 => x"4c4d",
000073 => x"4a4c",
000074 => x"12b0",
000075 => x"f63a",
000076 => x"4030",
000077 => x"f60c",
000078 => x"120a",
000079 => x"1209",
000080 => x"1208",
000081 => x"1207",
000082 => x"4c09",
000083 => x"4038",
000084 => x"f01e",
000085 => x"4077",
000086 => x"000d",
000087 => x"496a",
000088 => x"930a",
000089 => x"2002",
000090 => x"4030",
000091 => x"f608",
000092 => x"903a",
000093 => x"000a",
000094 => x"2002",
000095 => x"474c",
000096 => x"1288",
000097 => x"4a4c",
000098 => x"1288",
000099 => x"5319",
000100 => x"4030",
000101 => x"f0ae",
000102 => x"403d",
000103 => x"ffa6",
000104 => x"f03c",
000105 => x"00ff",
000106 => x"4c8d",
000107 => x"0000",
000108 => x"403e",
000109 => x"ffa4",
000110 => x"4e2c",
000111 => x"930c",
000112 => x"3bfd",
000113 => x"4d2c",
000114 => x"4130",
000115 => x"4c4a",
000116 => x"403c",
000117 => x"f61e",
000118 => x"12b0",
000119 => x"f09c",
000120 => x"4a4c",
000121 => x"12b0",
000122 => x"f032",
000123 => x"4302",
000124 => x"4392",
000125 => x"ffae",
000126 => x"4030",
000127 => x"f0fc",
000128 => x"120a",
000129 => x"1209",
000130 => x"4c09",
000131 => x"12b0",
000132 => x"f00c",
000133 => x"403a",
000134 => x"f0cc",
000135 => x"407c",
000136 => x"0003",
000137 => x"128a",
000138 => x"490c",
000139 => x"427d",
000140 => x"12b0",
000141 => x"f618",
000142 => x"128a",
000143 => x"494c",
000144 => x"128a",
000145 => x"434c",
000146 => x"128a",
000147 => x"f0b2",
000148 => x"fdff",
000149 => x"ffa4",
000150 => x"4030",
000151 => x"f60c",
000152 => x"120a",
000153 => x"1209",
000154 => x"1208",
000155 => x"4c08",
000156 => x"934d",
000157 => x"2011",
000158 => x"403c",
000159 => x"ffa2",
000160 => x"4c0d",
000161 => x"4c2a",
000162 => x"930a",
000163 => x"37fd",
000164 => x"f03a",
000165 => x"00ff",
000166 => x"4d2c",
000167 => x"930c",
000168 => x"37fd",
000169 => x"f03c",
000170 => x"00ff",
000171 => x"108a",
000172 => x"da0c",
000173 => x"4030",
000174 => x"f60a",
000175 => x"4039",
000176 => x"f100",
000177 => x"1289",
000178 => x"4c4a",
000179 => x"480c",
000180 => x"531c",
000181 => x"1289",
000182 => x"4030",
000183 => x"f152",
000184 => x"120a",
000185 => x"1209",
000186 => x"1208",
000187 => x"1207",
000188 => x"1206",
000189 => x"1205",
000190 => x"1204",
000191 => x"8221",
000192 => x"4c47",
000193 => x"b0b2",
000194 => x"0100",
000195 => x"fff2",
000196 => x"2403",
000197 => x"435c",
000198 => x"12b0",
000199 => x"f0e6",
000200 => x"4035",
000201 => x"f09c",
000202 => x"9307",
000203 => x"200e",
000204 => x"403c",
000205 => x"f625",
000206 => x"1285",
000207 => x"4039",
000208 => x"f130",
000209 => x"474d",
000210 => x"434c",
000211 => x"1289",
000212 => x"903c",
000213 => x"cafe",
000214 => x"2407",
000215 => x"436c",
000216 => x"4030",
000217 => x"f18c",
000218 => x"403c",
000219 => x"f639",
000220 => x"4030",
000221 => x"f19c",
000222 => x"474d",
000223 => x"436c",
000224 => x"1289",
000225 => x"4c0a",
000226 => x"474d",
000227 => x"426c",
000228 => x"1289",
000229 => x"4c81",
000230 => x"0002",
000231 => x"421e",
000232 => x"fff6",
000233 => x"9a0e",
000234 => x"2815",
000235 => x"c312",
000236 => x"100a",
000237 => x"4a04",
000238 => x"5a04",
000239 => x"4346",
000240 => x"4608",
000241 => x"480c",
000242 => x"503c",
000243 => x"0006",
000244 => x"9408",
000245 => x"200d",
000246 => x"c312",
000247 => x"100e",
000248 => x"9e0a",
000249 => x"2814",
000250 => x"9116",
000251 => x"0002",
000252 => x"2419",
000253 => x"427c",
000254 => x"4030",
000255 => x"f18c",
000256 => x"426c",
000257 => x"4030",
000258 => x"f18c",
000259 => x"474d",
000260 => x"4e81",
000261 => x"0000",
000262 => x"1289",
000263 => x"ec06",
000264 => x"4c88",
000265 => x"0000",
000266 => x"5328",
000267 => x"412e",
000268 => x"4030",
000269 => x"f1e2",
000270 => x"4a0c",
000271 => x"5a0c",
000272 => x"540c",
000273 => x"438c",
000274 => x"0000",
000275 => x"531a",
000276 => x"4030",
000277 => x"f1f0",
000278 => x"403c",
000279 => x"f645",
000280 => x"1285",
000281 => x"5221",
000282 => x"4030",
000283 => x"f602",
000284 => x"120a",
000285 => x"1209",
000286 => x"1208",
000287 => x"1207",
000288 => x"1206",
000289 => x"4c07",
000290 => x"4d46",
000291 => x"4038",
000292 => x"f00c",
000293 => x"1288",
000294 => x"403a",
000295 => x"f0cc",
000296 => x"407c",
000297 => x"0006",
000298 => x"128a",
000299 => x"4039",
000300 => x"ffa4",
000301 => x"f0b9",
000302 => x"fdff",
000303 => x"0000",
000304 => x"1288",
000305 => x"436c",
000306 => x"128a",
000307 => x"470c",
000308 => x"427d",
000309 => x"12b0",
000310 => x"f618",
000311 => x"128a",
000312 => x"474c",
000313 => x"128a",
000314 => x"464c",
000315 => x"128a",
000316 => x"f0b9",
000317 => x"fdff",
000318 => x"0000",
000319 => x"4076",
000320 => x"0005",
000321 => x"4347",
000322 => x"1288",
000323 => x"464c",
000324 => x"128a",
000325 => x"474c",
000326 => x"128a",
000327 => x"f0b9",
000328 => x"fdff",
000329 => x"0000",
000330 => x"b35c",
000331 => x"23f6",
000332 => x"4030",
000333 => x"f606",
000334 => x"120a",
000335 => x"1209",
000336 => x"1208",
000337 => x"4c09",
000338 => x"4d08",
000339 => x"4d0c",
000340 => x"427d",
000341 => x"12b0",
000342 => x"f618",
000343 => x"403a",
000344 => x"f238",
000345 => x"4c4d",
000346 => x"490c",
000347 => x"128a",
000348 => x"484d",
000349 => x"490c",
000350 => x"531c",
000351 => x"128a",
000352 => x"4030",
000353 => x"f60a",
000354 => x"403c",
000355 => x"f648",
000356 => x"12b0",
000357 => x"f09c",
000358 => x"403d",
000359 => x"ffa0",
000360 => x"4d2c",
000361 => x"930c",
000362 => x"3bfd",
000363 => x"4032",
000364 => x"4000",
000365 => x"4300",
000366 => x"4030",
000367 => x"f2da",
000368 => x"5392",
000369 => x"c004",
000370 => x"e392",
000371 => x"ffae",
000372 => x"1300",
000373 => x"120a",
000374 => x"1209",
000375 => x"1208",
000376 => x"1207",
000377 => x"1206",
000378 => x"1205",
000379 => x"1204",
000380 => x"8321",
000381 => x"40b2",
000382 => x"4700",
000383 => x"ffb8",
000384 => x"4032",
000385 => x"c000",
000386 => x"4382",
000387 => x"ff90",
000388 => x"4382",
000389 => x"ffe0",
000390 => x"4382",
000391 => x"ffe8",
000392 => x"40b2",
000393 => x"f2e0",
000394 => x"c000",
000395 => x"4382",
000396 => x"ffaa",
000397 => x"4392",
000398 => x"ffae",
000399 => x"421e",
000400 => x"fffc",
000401 => x"421f",
000402 => x"fffe",
000403 => x"434c",
000404 => x"4f0a",
000405 => x"930f",
000406 => x"2076",
000407 => x"403d",
000408 => x"95ff",
000409 => x"9e0d",
000410 => x"2872",
000411 => x"4079",
000412 => x"0003",
000413 => x"407d",
000414 => x"00ff",
000415 => x"9c0d",
000416 => x"2872",
000417 => x"4382",
000418 => x"ffa0",
000419 => x"4a0d",
000420 => x"5a0d",
000421 => x"5d0d",
000422 => x"5d0d",
000423 => x"5d0d",
000424 => x"5d0d",
000425 => x"5d0d",
000426 => x"5d0d",
000427 => x"5d0d",
000428 => x"dc0d",
000429 => x"d03d",
000430 => x"1000",
000431 => x"4d82",
000432 => x"ffa0",
000433 => x"4037",
000434 => x"ffa2",
000435 => x"472c",
000436 => x"4382",
000437 => x"ffa4",
000438 => x"40b2",
000439 => x"0021",
000440 => x"ffa4",
000441 => x"4038",
000442 => x"f0cc",
000443 => x"434c",
000444 => x"1288",
000445 => x"4382",
000446 => x"ffb0",
000447 => x"4036",
000448 => x"fffe",
000449 => x"462c",
000450 => x"5c0c",
000451 => x"5c0c",
000452 => x"533c",
000453 => x"4c82",
000454 => x"ffb4",
000455 => x"40b2",
000456 => x"007f",
000457 => x"ffb0",
000458 => x"4382",
000459 => x"ffb2",
000460 => x"4382",
000461 => x"c004",
000462 => x"d032",
000463 => x"4000",
000464 => x"d232",
000465 => x"4303",
000466 => x"403a",
000467 => x"f09c",
000468 => x"403c",
000469 => x"f655",
000470 => x"128a",
000471 => x"4039",
000472 => x"f082",
000473 => x"421c",
000474 => x"fff0",
000475 => x"1289",
000476 => x"403c",
000477 => x"f68f",
000478 => x"128a",
000479 => x"421c",
000480 => x"fff4",
000481 => x"1289",
000482 => x"403c",
000483 => x"f698",
000484 => x"128a",
000485 => x"462c",
000486 => x"1289",
000487 => x"421c",
000488 => x"fffc",
000489 => x"1289",
000490 => x"403c",
000491 => x"f6a1",
000492 => x"128a",
000493 => x"421c",
000494 => x"fff6",
000495 => x"1289",
000496 => x"403c",
000497 => x"f6aa",
000498 => x"128a",
000499 => x"421c",
000500 => x"fffa",
000501 => x"1289",
000502 => x"403c",
000503 => x"f6b3",
000504 => x"128a",
000505 => x"421c",
000506 => x"fff2",
000507 => x"1289",
000508 => x"403c",
000509 => x"f6bc",
000510 => x"128a",
000511 => x"403d",
000512 => x"c004",
000513 => x"4d2c",
000514 => x"903c",
000515 => x"0020",
000516 => x"201e",
000517 => x"435c",
000518 => x"12b0",
000519 => x"f170",
000520 => x"403c",
000521 => x"f6e3",
000522 => x"128a",
000523 => x"12b0",
000524 => x"f2c4",
000525 => x"503e",
000526 => x"6a00",
000527 => x"633f",
000528 => x"531c",
000529 => x"4030",
000530 => x"f328",
000531 => x"936a",
000532 => x"2402",
000533 => x"926a",
000534 => x"2008",
000535 => x"490d",
000536 => x"12b0",
000537 => x"f618",
000538 => x"535a",
000539 => x"f03a",
000540 => x"00ff",
000541 => x"4030",
000542 => x"f33a",
000543 => x"c312",
000544 => x"100c",
000545 => x"4030",
000546 => x"f434",
000547 => x"472c",
000548 => x"930c",
000549 => x"37db",
000550 => x"403c",
000551 => x"f6e5",
000552 => x"128a",
000553 => x"4036",
000554 => x"ffa2",
000555 => x"403c",
000556 => x"f747",
000557 => x"128a",
000558 => x"462c",
000559 => x"930c",
000560 => x"37fd",
000561 => x"4c47",
000562 => x"474c",
000563 => x"12b0",
000564 => x"f01e",
000565 => x"403c",
000566 => x"f6e3",
000567 => x"128a",
000568 => x"9077",
000569 => x"0072",
000570 => x"2004",
000571 => x"4030",
000572 => x"f000",
000077 => x"f654",
000078 => x"4039",
000079 => x"f044",
000080 => x"1289",
000081 => x"4c4a",
000082 => x"480c",
000083 => x"531c",
000084 => x"1289",
000085 => x"4030",
000086 => x"f090",
000087 => x"120a",
000088 => x"1209",
000089 => x"1208",
000090 => x"1207",
000091 => x"1206",
000092 => x"1205",
000093 => x"1204",
000094 => x"8221",
000095 => x"4c47",
000096 => x"b0b2",
000097 => x"0100",
000098 => x"fff2",
000099 => x"2403",
000100 => x"435c",
000101 => x"12b0",
000102 => x"f00c",
000103 => x"4035",
000104 => x"f53c",
000105 => x"9307",
000106 => x"200e",
000107 => x"403c",
000108 => x"f67c",
000109 => x"1285",
000110 => x"4039",
000111 => x"f07a",
000112 => x"474d",
000113 => x"434c",
000114 => x"1289",
000115 => x"903c",
000116 => x"cafe",
000117 => x"2407",
000118 => x"436c",
000119 => x"4030",
000120 => x"f0ca",
000121 => x"403c",
000122 => x"f690",
000123 => x"4030",
000124 => x"f0da",
000125 => x"474d",
000126 => x"436c",
000127 => x"1289",
000128 => x"4c0a",
000129 => x"474d",
000130 => x"426c",
000131 => x"1289",
000132 => x"4c81",
000133 => x"0002",
000134 => x"421e",
000135 => x"fff6",
000136 => x"9a0e",
000137 => x"2815",
000138 => x"c312",
000139 => x"100a",
000140 => x"4a04",
000141 => x"5a04",
000142 => x"4346",
000143 => x"4608",
000144 => x"480c",
000145 => x"503c",
000146 => x"0006",
000147 => x"9408",
000148 => x"200d",
000149 => x"c312",
000150 => x"100e",
000151 => x"9e0a",
000152 => x"2814",
000153 => x"9116",
000154 => x"0002",
000155 => x"2419",
000156 => x"427c",
000157 => x"4030",
000158 => x"f0ca",
000159 => x"426c",
000160 => x"4030",
000161 => x"f0ca",
000162 => x"474d",
000163 => x"4e81",
000164 => x"0000",
000165 => x"1289",
000166 => x"ec06",
000167 => x"4c88",
000168 => x"0000",
000169 => x"5328",
000170 => x"412e",
000171 => x"4030",
000172 => x"f120",
000173 => x"4a0c",
000174 => x"5a0c",
000175 => x"540c",
000176 => x"438c",
000177 => x"0000",
000178 => x"531a",
000179 => x"4030",
000180 => x"f12e",
000181 => x"403c",
000182 => x"f69c",
000183 => x"1285",
000184 => x"5221",
000185 => x"4030",
000186 => x"f64c",
000187 => x"120a",
000188 => x"1209",
000189 => x"1208",
000190 => x"1207",
000191 => x"1206",
000192 => x"1205",
000193 => x"4c07",
000194 => x"4d46",
000195 => x"4038",
000196 => x"f5da",
000197 => x"434c",
000198 => x"1288",
000199 => x"403a",
000200 => x"f608",
000201 => x"407c",
000202 => x"0006",
000203 => x"128a",
000204 => x"4039",
000205 => x"f600",
000206 => x"434c",
000207 => x"1289",
000208 => x"434c",
000209 => x"1288",
000210 => x"436c",
000211 => x"128a",
000212 => x"470c",
000213 => x"427d",
000214 => x"12b0",
000215 => x"f662",
000216 => x"128a",
000217 => x"474c",
000218 => x"128a",
000219 => x"464c",
000220 => x"128a",
000221 => x"434c",
000222 => x"1289",
000223 => x"4807",
000224 => x"4348",
000225 => x"4075",
000226 => x"0005",
000227 => x"484c",
000228 => x"1287",
000229 => x"454c",
000230 => x"128a",
000231 => x"484c",
000232 => x"128a",
000233 => x"4c46",
000234 => x"484c",
000235 => x"1289",
000236 => x"b316",
000237 => x"23f5",
000238 => x"4030",
000239 => x"f64e",
000240 => x"120a",
000241 => x"1209",
000242 => x"1208",
000243 => x"4c09",
000244 => x"4d08",
000245 => x"4d0c",
000246 => x"427d",
000247 => x"12b0",
000248 => x"f662",
000249 => x"403a",
000250 => x"f176",
000251 => x"4c4d",
000252 => x"490c",
000253 => x"128a",
000254 => x"484d",
000255 => x"490c",
000256 => x"531c",
000257 => x"128a",
000258 => x"4030",
000259 => x"f654",
000260 => x"120f",
000261 => x"120e",
000262 => x"120d",
000263 => x"120c",
000264 => x"120b",
000265 => x"120a",
000266 => x"1209",
000267 => x"1208",
000268 => x"1207",
000269 => x"1206",
000270 => x"1205",
000271 => x"1204",
000272 => x"5392",
000273 => x"c004",
000274 => x"435c",
000275 => x"12b0",
000276 => x"f628",
000277 => x"4134",
000278 => x"4135",
000279 => x"4136",
000280 => x"4137",
000281 => x"4138",
000282 => x"4139",
000283 => x"413a",
000284 => x"413b",
000285 => x"413c",
000286 => x"413d",
000287 => x"413e",
000288 => x"413f",
000289 => x"1300",
000290 => x"120a",
000291 => x"1209",
000292 => x"1208",
000293 => x"1207",
000294 => x"1206",
000295 => x"1205",
000296 => x"1204",
000297 => x"8321",
000298 => x"12b0",
000299 => x"f644",
000300 => x"4032",
000301 => x"c000",
000302 => x"4382",
000303 => x"ff90",
000304 => x"4382",
000305 => x"ffe0",
000306 => x"4382",
000307 => x"ffe8",
000308 => x"40b2",
000309 => x"f208",
000310 => x"c000",
000311 => x"4382",
000312 => x"ffaa",
000313 => x"435c",
000314 => x"12b0",
000315 => x"f622",
000316 => x"403c",
000317 => x"4b00",
000318 => x"434d",
000319 => x"12b0",
000320 => x"f48c",
000321 => x"12b0",
000322 => x"f536",
000323 => x"426c",
000324 => x"12b0",
000325 => x"f5c0",
000326 => x"4038",
000327 => x"f608",
000328 => x"434c",
000329 => x"1288",
000330 => x"4382",
000331 => x"ffb0",
000332 => x"4037",
000333 => x"fffe",
000334 => x"472c",
000335 => x"5c0c",
000336 => x"5c0c",
000337 => x"533c",
000338 => x"4c82",
000339 => x"ffb4",
000340 => x"40b2",
000341 => x"007f",
000342 => x"ffb0",
000343 => x"4382",
000344 => x"ffb2",
000345 => x"4382",
000346 => x"c004",
000347 => x"12b0",
000348 => x"f634",
000349 => x"12b0",
000350 => x"f62e",
000351 => x"403a",
000352 => x"f53c",
000353 => x"403c",
000354 => x"f69f",
000355 => x"128a",
000356 => x"4039",
000357 => x"f5a6",
000358 => x"421c",
000359 => x"fff0",
000360 => x"1289",
000361 => x"403c",
000362 => x"f6d9",
000363 => x"128a",
000364 => x"421c",
000365 => x"fff4",
000366 => x"1289",
000367 => x"403c",
000368 => x"f6e2",
000369 => x"128a",
000370 => x"472c",
000371 => x"1289",
000372 => x"421c",
000373 => x"fffc",
000374 => x"1289",
000375 => x"403c",
000376 => x"f6eb",
000377 => x"128a",
000378 => x"421c",
000379 => x"fff6",
000380 => x"1289",
000381 => x"403c",
000382 => x"f6f4",
000383 => x"128a",
000384 => x"421c",
000385 => x"fffa",
000386 => x"1289",
000387 => x"403c",
000388 => x"f6fd",
000389 => x"128a",
000390 => x"421c",
000391 => x"fff2",
000392 => x"1289",
000393 => x"403c",
000394 => x"f706",
000395 => x"128a",
000396 => x"403e",
000397 => x"c004",
000398 => x"403d",
000399 => x"ffa2",
000400 => x"4e2c",
000401 => x"903c",
000402 => x"0020",
000403 => x"2008",
000404 => x"435c",
000405 => x"12b0",
000406 => x"f0ae",
000407 => x"403c",
000408 => x"f72d",
000409 => x"128a",
000410 => x"12b0",
000411 => x"f028",
000412 => x"4d2c",
000413 => x"930c",
000414 => x"37f1",
000415 => x"403c",
000416 => x"f72f",
000417 => x"128a",
000418 => x"4035",
000419 => x"f0ae",
000420 => x"403c",
000421 => x"f791",
000422 => x"128a",
000423 => x"12b0",
000424 => x"f520",
000425 => x"4c47",
000426 => x"12b0",
000427 => x"f50c",
000428 => x"403c",
000429 => x"f72d",
000430 => x"128a",
000431 => x"9077",
000432 => x"0072",
000433 => x"2004",
000434 => x"4030",
000435 => x"f000",
000436 => x"4030",
000437 => x"f348",
000438 => x"9077",
000439 => x"0068",
000440 => x"2005",
000441 => x"403c",
000442 => x"f72f",
000443 => x"128a",
000444 => x"4030",
000445 => x"f348",
000446 => x"9077",
000447 => x"0064",
000448 => x"2024",
000449 => x"4077",
000450 => x"0020",
000451 => x"4344",
000452 => x"403c",
000453 => x"f72d",
000454 => x"128a",
000455 => x"4706",
000456 => x"5036",
000457 => x"ffe0",
000458 => x"460c",
000459 => x"1289",
000460 => x"403c",
000461 => x"f799",
000462 => x"128a",
000463 => x"462c",
000464 => x"1289",
000465 => x"407c",
000466 => x"0020",
000467 => x"12b0",
000468 => x"f50c",
000469 => x"5326",
000470 => x"9706",
000471 => x"23f7",
000472 => x"12b0",
000473 => x"f52c",
000474 => x"930c",
000475 => x"23c8",
000476 => x"5037",
000477 => x"0020",
000478 => x"9034",
000479 => x"ffe0",
000480 => x"27c3",
000481 => x"5034",
000482 => x"0010",
000483 => x"4030",
000484 => x"f388",
000485 => x"9077",
000486 => x"0075",
000487 => x"2004",
000488 => x"434c",
000489 => x"1285",
000490 => x"4030",
000491 => x"f348",
000492 => x"9077",
000493 => x"0070",
000494 => x"204a",
000495 => x"403c",
000496 => x"f79d",
000497 => x"128a",
000498 => x"12b0",
000499 => x"f520",
000500 => x"907c",
000501 => x"0079",
000502 => x"23ad",
000503 => x"403c",
000504 => x"f7ac",
000505 => x"128a",
000506 => x"4037",
000507 => x"f5da",
000508 => x"434c",
000509 => x"1287",
000510 => x"407c",
000511 => x"0006",
000512 => x"1288",
000513 => x"4034",
000514 => x"f600",
000515 => x"434c",
000516 => x"1284",
000517 => x"434c",
000518 => x"1287",
000519 => x"407c",
000520 => x"0005",
000521 => x"1288",
000522 => x"434c",
000523 => x"1288",
000524 => x"4c47",
000525 => x"434c",
000526 => x"1284",
000527 => x"f077",
000528 => x"ff8f",
000529 => x"9367",
000530 => x"2403",
000531 => x"434c",
000532 => x"12b0",
000533 => x"f00c",
000534 => x"4037",
000535 => x"f1e0",
000536 => x"403d",
000537 => x"cafe",
000538 => x"434c",
000539 => x"1287",
000540 => x"4214",
000541 => x"fff6",
000542 => x"440d",
000543 => x"436c",
000544 => x"1287",
000545 => x"434c",
000546 => x"4c0e",
000547 => x"940c",
000548 => x"2807",
000549 => x"4e0d",
000550 => x"426c",
000551 => x"1287",
000552 => x"403c",
000553 => x"f69c",
000554 => x"4030",
000555 => x"f376",
000556 => x"4c06",
000557 => x"5326",
000558 => x"4c2d",
000559 => x"ed0e",
000560 => x"503c",
000561 => x"0006",
000562 => x"4e81",
000563 => x"0000",
000564 => x"1287",
000565 => x"460c",
000566 => x"412e",
000567 => x"4030",
000568 => x"f446",
000569 => x"9077",
000570 => x"0065",
000571 => x"2003",
000572 => x"435c",
000573 => x"4030",
000574 => x"f456",
000574 => x"f3d2",
000575 => x"9077",
000576 => x"0068",
000577 => x"2005",
000576 => x"0073",
000577 => x"2758",
000578 => x"403c",
000579 => x"f6e5",
000580 => x"128a",
000581 => x"4030",
000582 => x"f456",
000583 => x"9077",
000584 => x"0064",
000585 => x"2023",
000586 => x"4077",
000587 => x"0020",
000588 => x"4345",
000589 => x"403c",
000590 => x"f6e3",
000591 => x"128a",
000592 => x"4704",
000593 => x"5034",
000594 => x"ffe0",
000595 => x"440c",
000596 => x"1289",
000597 => x"403c",
000598 => x"f74f",
000599 => x"128a",
000600 => x"442c",
000601 => x"1289",
000602 => x"407c",
000603 => x"0020",
000604 => x"12b0",
000605 => x"f01e",
000606 => x"5324",
000607 => x"9407",
000608 => x"23f7",
000609 => x"462c",
000610 => x"930c",
000611 => x"3bc7",
000612 => x"5037",
000613 => x"0020",
000614 => x"9035",
000615 => x"ffe0",
000616 => x"27c2",
000617 => x"5035",
000618 => x"0010",
000619 => x"4030",
000620 => x"f49a",
000621 => x"9077",
000622 => x"0075",
000623 => x"2005",
000624 => x"434c",
000625 => x"12b0",
000626 => x"f170",
000627 => x"4030",
000628 => x"f456",
000629 => x"9077",
000630 => x"0070",
000631 => x"204a",
000632 => x"403c",
000633 => x"f753",
000634 => x"128a",
000635 => x"462c",
000636 => x"930c",
000637 => x"37fd",
000638 => x"907c",
000639 => x"0079",
000640 => x"23aa",
000641 => x"403c",
000642 => x"f762",
000643 => x"128a",
000644 => x"4035",
000645 => x"f00c",
000646 => x"1285",
000647 => x"407c",
000648 => x"0006",
000649 => x"1288",
000650 => x"4037",
000651 => x"ffa4",
000652 => x"f0b7",
000653 => x"fdff",
000654 => x"0000",
000655 => x"1285",
000656 => x"407c",
000657 => x"0005",
000658 => x"1288",
000659 => x"434c",
000660 => x"1288",
000661 => x"f0b7",
000662 => x"fdff",
000663 => x"0000",
000664 => x"f07c",
000665 => x"ff8f",
000666 => x"936c",
000667 => x"2403",
000668 => x"434c",
000669 => x"12b0",
000670 => x"f0e6",
000671 => x"4037",
000672 => x"f29c",
000673 => x"403d",
000674 => x"cafe",
000675 => x"434c",
000676 => x"1287",
000677 => x"4215",
000678 => x"fff6",
000679 => x"450d",
000680 => x"436c",
000681 => x"1287",
000682 => x"434c",
000683 => x"4c0e",
000684 => x"950c",
000685 => x"2807",
000686 => x"4e0d",
000687 => x"426c",
000688 => x"1287",
000689 => x"403c",
000690 => x"f645",
000691 => x"4030",
000692 => x"f488",
000693 => x"4c04",
000694 => x"5324",
000695 => x"4c2d",
000696 => x"ed0e",
000697 => x"503c",
000698 => x"0006",
000699 => x"4e81",
000700 => x"0000",
000701 => x"1287",
000702 => x"440c",
000703 => x"412e",
000704 => x"4030",
000705 => x"f558",
000706 => x"9077",
000707 => x"0065",
000708 => x"2003",
000709 => x"435c",
000710 => x"4030",
000711 => x"f4e2",
000712 => x"9077",
000713 => x"0073",
000714 => x"2740",
000715 => x"403c",
000716 => x"f76f",
000717 => x"4030",
000718 => x"f488",
000719 => x"120a",
000720 => x"1209",
000721 => x"9c0d",
000722 => x"2c20",
000723 => x"4d0a",
000724 => x"5e0a",
000725 => x"9a0c",
000726 => x"2c1c",
000727 => x"4e09",
000728 => x"e339",
000729 => x"434d",
000730 => x"533d",
000731 => x"9d09",
000732 => x"2002",
000733 => x"4030",
000734 => x"f60c",
000735 => x"4d0f",
000736 => x"5e0f",
000737 => x"5c0f",
000738 => x"4a0b",
000739 => x"5d0b",
000740 => x"4bef",
000579 => x"f7b9",
000580 => x"4030",
000581 => x"f376",
000582 => x"120a",
000583 => x"1209",
000584 => x"421a",
000585 => x"fffc",
000586 => x"421b",
000587 => x"fffe",
000588 => x"4c0e",
000589 => x"5c0e",
000590 => x"4d0f",
000591 => x"6d0f",
000592 => x"434c",
000593 => x"4f09",
000594 => x"9f0b",
000595 => x"2804",
000596 => x"9b09",
000597 => x"201b",
000598 => x"9e0a",
000599 => x"2c19",
000600 => x"434a",
000601 => x"4079",
000602 => x"0003",
000603 => x"407d",
000604 => x"00ff",
000605 => x"9c0d",
000606 => x"2817",
000607 => x"4382",
000608 => x"ffa0",
000609 => x"4a0d",
000610 => x"5a0d",
000611 => x"5d0d",
000612 => x"5d0d",
000613 => x"5d0d",
000614 => x"5d0d",
000615 => x"5d0d",
000616 => x"5d0d",
000617 => x"5d0d",
000618 => x"dc0d",
000619 => x"d03d",
000620 => x"1000",
000621 => x"4d82",
000622 => x"ffa0",
000623 => x"4030",
000624 => x"f656",
000625 => x"8e0a",
000626 => x"7f0b",
000627 => x"531c",
000628 => x"4030",
000629 => x"f4a4",
000630 => x"936a",
000631 => x"2402",
000632 => x"926a",
000633 => x"2008",
000634 => x"490d",
000635 => x"12b0",
000636 => x"f662",
000637 => x"535a",
000638 => x"f03a",
000639 => x"00ff",
000640 => x"4030",
000641 => x"f4b6",
000642 => x"c312",
000643 => x"100c",
000644 => x"4030",
000645 => x"f4fa",
000646 => x"f03c",
000647 => x"00ff",
000648 => x"403e",
000649 => x"ffa0",
000650 => x"4e2d",
000651 => x"930d",
000652 => x"3bfd",
000653 => x"4c82",
000654 => x"ffa2",
000655 => x"4130",
000656 => x"403d",
000657 => x"ffa2",
000658 => x"4d2c",
000659 => x"930c",
000660 => x"37fd",
000661 => x"4130",
000662 => x"421c",
000663 => x"ffa2",
000664 => x"f03c",
000665 => x"8000",
000666 => x"4130",
000667 => x"421c",
000668 => x"ffa2",
000669 => x"4130",
000670 => x"120a",
000671 => x"1209",
000672 => x"1208",
000673 => x"1207",
000674 => x"4c09",
000675 => x"4038",
000676 => x"f50c",
000677 => x"4077",
000678 => x"000d",
000679 => x"496a",
000680 => x"930a",
000681 => x"2002",
000682 => x"4030",
000683 => x"f652",
000684 => x"903a",
000685 => x"000a",
000686 => x"2002",
000687 => x"474c",
000688 => x"1288",
000689 => x"4a4c",
000690 => x"1288",
000691 => x"5319",
000692 => x"4030",
000693 => x"f54e",
000694 => x"f07c",
000695 => x"000f",
000696 => x"407d",
000697 => x"0009",
000698 => x"9c4d",
000699 => x"2805",
000700 => x"503c",
000701 => x"0030",
000702 => x"12b0",
000703 => x"f50c",
000704 => x"4130",
000705 => x"503c",
000706 => x"0037",
000707 => x"4030",
000708 => x"f57c",
000709 => x"120a",
000710 => x"1209",
000711 => x"4c49",
000712 => x"490c",
000713 => x"426d",
000714 => x"12b0",
000715 => x"f662",
000716 => x"403a",
000717 => x"f56c",
000718 => x"128a",
000719 => x"494c",
000720 => x"128a",
000721 => x"4030",
000722 => x"f656",
000723 => x"120a",
000724 => x"1209",
000725 => x"4c09",
000726 => x"427d",
000727 => x"12b0",
000728 => x"f662",
000729 => x"403a",
000730 => x"f58a",
000731 => x"128a",
000732 => x"494c",
000733 => x"128a",
000734 => x"4030",
000735 => x"f656",
000736 => x"f03c",
000737 => x"00ff",
000738 => x"403d",
000739 => x"ffa4",
000740 => x"438d",
000741 => x"0000",
000742 => x"4030",
000743 => x"f5b4",
000744 => x"4d0b",
000745 => x"5f0b",
000746 => x"4c0a",
000747 => x"5f0a",
000748 => x"4bea",
000749 => x"0000",
000750 => x"531f",
000751 => x"9f0e",
000752 => x"23f7",
000753 => x"4030",
000754 => x"f5ba",
000755 => x"434f",
000756 => x"4030",
000757 => x"f5de",
000758 => x"434f",
000759 => x"9f0e",
000760 => x"2001",
000761 => x"4130",
000762 => x"4c0b",
000763 => x"5f0b",
000764 => x"4dcb",
000765 => x"0000",
000766 => x"531f",
000767 => x"4030",
000768 => x"f5ee",
000769 => x"4134",
000770 => x"4135",
000771 => x"4136",
000772 => x"4137",
000773 => x"4138",
000774 => x"4139",
000775 => x"413a",
000776 => x"4130",
000777 => x"533d",
000778 => x"c312",
000779 => x"100c",
000780 => x"930d",
000781 => x"23fb",
000782 => x"4130",
000783 => x"0a07",
000784 => x"5245",
000785 => x"5f52",
000786 => x"4100",
000787 => x"6177",
000788 => x"7469",
000789 => x"6e69",
000790 => x"2067",
000791 => x"4942",
000792 => x"454e",
000793 => x"4558",
000794 => x"2e2e",
000795 => x"202e",
000796 => x"4c00",
000797 => x"616f",
000798 => x"6964",
000799 => x"676e",
000800 => x"2e2e",
000801 => x"202e",
000802 => x"4f00",
000803 => x"004b",
000804 => x"6f42",
000805 => x"746f",
000806 => x"6e69",
000807 => x"2e67",
000808 => x"2e2e",
000809 => x"0a0a",
000810 => x"0a00",
000811 => x"4e0a",
000812 => x"4f45",
000813 => x"3334",
000814 => x"2030",
000815 => x"6f42",
000816 => x"746f",
000817 => x"6f6c",
000818 => x"6461",
000819 => x"7265",
000820 => x"5620",
000821 => x"3032",
000822 => x"3931",
000823 => x"3930",
000824 => x"3931",
000825 => x"420a",
000826 => x"2079",
000827 => x"7453",
000828 => x"7065",
000829 => x"6168",
000830 => x"206e",
000831 => x"6f4e",
000832 => x"746c",
000833 => x"6e69",
000834 => x"0a67",
000835 => x"480a",
000836 => x"5657",
000837 => x"203a",
000838 => x"7830",
000839 => x"0a00",
000840 => x"5355",
000841 => x"3a52",
000842 => x"3020",
000843 => x"0078",
000844 => x"430a",
000845 => x"4b4c",
000846 => x"203a",
000847 => x"7830",
000848 => x"0a00",
000849 => x"4f52",
000850 => x"3a4d",
000851 => x"3020",
000852 => x"0078",
000853 => x"520a",
000854 => x"4d41",
000855 => x"203a",
000856 => x"7830",
000857 => x"0a00",
000858 => x"5953",
000859 => x"3a53",
000860 => x"3020",
000861 => x"0078",
000862 => x"0a0a",
000863 => x"7541",
000864 => x"6f74",
000865 => x"6f62",
000866 => x"746f",
000867 => x"6920",
000868 => x"206e",
000869 => x"7338",
000870 => x"202e",
000871 => x"7250",
000872 => x"7365",
000873 => x"2073",
000874 => x"656b",
000875 => x"2079",
000876 => x"6f74",
000877 => x"6120",
000878 => x"6f62",
000879 => x"7472",
000880 => x"0a2e",
000881 => x"0a00",
000882 => x"4300",
000883 => x"444d",
000884 => x"3a73",
000885 => x"200a",
000886 => x"3a64",
000887 => x"4420",
000888 => x"6d75",
000889 => x"2070",
000890 => x"454d",
000891 => x"0a4d",
000892 => x"6520",
000893 => x"203a",
000894 => x"6f4c",
000895 => x"6461",
000896 => x"4520",
000897 => x"5045",
000898 => x"4f52",
000899 => x"0a4d",
000900 => x"6820",
000901 => x"203a",
000902 => x"6548",
000903 => x"706c",
000904 => x"200a",
000905 => x"3a70",
000906 => x"5320",
000907 => x"6f74",
000908 => x"6572",
000909 => x"4520",
000910 => x"5045",
000911 => x"4f52",
000912 => x"0a4d",
000913 => x"7220",
000914 => x"203a",
000915 => x"6552",
000916 => x"7473",
000917 => x"7261",
000918 => x"0a74",
000919 => x"7320",
000920 => x"203a",
000921 => x"7453",
000922 => x"7261",
000923 => x"2074",
000924 => x"7061",
000925 => x"0a70",
000926 => x"7520",
000927 => x"203a",
000928 => x"7055",
000929 => x"6f6c",
000930 => x"6461",
000931 => x"0a00",
000932 => x"4d43",
000933 => x"3a44",
000934 => x"203e",
000935 => x"3a00",
000936 => x"2020",
000937 => x"5000",
000938 => x"6f72",
000939 => x"6563",
000940 => x"6465",
000941 => x"2820",
000942 => x"2f79",
000943 => x"296e",
000944 => x"003f",
000945 => x"570a",
000946 => x"6972",
000947 => x"6974",
000948 => x"676e",
000949 => x"2e2e",
000950 => x"202e",
000951 => x"4200",
000952 => x"6461",
000953 => x"4320",
000954 => x"444d",
000955 => x"0021",
000742 => x"5c0c",
000743 => x"5c0c",
000744 => x"5c0c",
000745 => x"d31c",
000746 => x"4c8d",
000747 => x"0000",
000748 => x"4130",
000749 => x"f03c",
000750 => x"00ff",
000751 => x"403d",
000752 => x"ffa4",
000753 => x"f0bd",
000754 => x"fc3f",
000755 => x"0000",
000756 => x"5c0c",
000757 => x"5c0c",
000758 => x"5c0c",
000759 => x"5c0c",
000760 => x"5c0c",
000761 => x"5c0c",
000762 => x"dd2c",
000763 => x"d03c",
000764 => x"0200",
000765 => x"4c8d",
000766 => x"0000",
000767 => x"4130",
000768 => x"f0b2",
000769 => x"fdff",
000770 => x"ffa4",
000771 => x"4130",
000772 => x"403d",
000773 => x"ffa6",
000774 => x"f03c",
000775 => x"00ff",
000776 => x"4c8d",
000777 => x"0000",
000778 => x"403e",
000779 => x"ffa4",
000780 => x"4e2c",
000781 => x"930c",
000782 => x"3bfd",
000783 => x"4d2c",
000784 => x"4130",
000785 => x"4c82",
000786 => x"ffae",
000787 => x"4130",
000788 => x"ec82",
000789 => x"ffae",
000790 => x"4130",
000791 => x"d232",
000792 => x"4303",
000793 => x"4130",
000794 => x"d032",
000795 => x"4000",
000796 => x"4130",
000797 => x"4c4e",
000798 => x"4d4c",
000799 => x"108e",
000800 => x"de0c",
000801 => x"4130",
000802 => x"40b2",
000803 => x"4700",
000804 => x"ffb8",
000805 => x"4130",
000806 => x"4134",
000807 => x"4135",
000808 => x"4136",
000809 => x"4137",
000810 => x"4138",
000811 => x"4139",
000812 => x"413a",
000813 => x"4130",
000814 => x"533d",
000815 => x"c312",
000816 => x"100c",
000817 => x"930d",
000818 => x"23fb",
000819 => x"4130",
000820 => x"0a07",
000821 => x"5245",
000822 => x"5f52",
000823 => x"4200",
000824 => x"6f6f",
000825 => x"6974",
000826 => x"676e",
000827 => x"2e2e",
000828 => x"0a2e",
000829 => x"000a",
000830 => x"7741",
000831 => x"6961",
000832 => x"6974",
000833 => x"676e",
000834 => x"4220",
000835 => x"4e49",
000836 => x"5845",
000837 => x"2e45",
000838 => x"2e2e",
000839 => x"0020",
000840 => x"6f4c",
000841 => x"6461",
000842 => x"6e69",
000843 => x"2e67",
000844 => x"2e2e",
000845 => x"0020",
000846 => x"4b4f",
000847 => x"0a00",
000848 => x"4e0a",
000849 => x"4f45",
000850 => x"3334",
000851 => x"2030",
000852 => x"6f42",
000853 => x"746f",
000854 => x"6f6c",
000855 => x"6461",
000856 => x"7265",
000857 => x"5620",
000858 => x"3032",
000859 => x"3931",
000860 => x"3930",
000861 => x"3931",
000862 => x"420a",
000863 => x"2079",
000864 => x"7453",
000865 => x"7065",
000866 => x"6168",
000867 => x"206e",
000868 => x"6f4e",
000869 => x"746c",
000870 => x"6e69",
000871 => x"0a67",
000872 => x"480a",
000873 => x"5657",
000874 => x"203a",
000875 => x"7830",
000876 => x"0a00",
000877 => x"5355",
000878 => x"3a52",
000879 => x"3020",
000880 => x"0078",
000881 => x"430a",
000882 => x"4b4c",
000883 => x"203a",
000884 => x"7830",
000885 => x"0a00",
000886 => x"4f52",
000887 => x"3a4d",
000888 => x"3020",
000889 => x"0078",
000890 => x"520a",
000891 => x"4d41",
000892 => x"203a",
000893 => x"7830",
000894 => x"0a00",
000895 => x"5953",
000896 => x"3a53",
000897 => x"3020",
000898 => x"0078",
000899 => x"0a0a",
000900 => x"7541",
000901 => x"6f74",
000902 => x"6f62",
000903 => x"746f",
000904 => x"6920",
000905 => x"206e",
000906 => x"7338",
000907 => x"202e",
000908 => x"7250",
000909 => x"7365",
000910 => x"2073",
000911 => x"656b",
000912 => x"2079",
000913 => x"6f74",
000914 => x"6120",
000915 => x"6f62",
000916 => x"7472",
000917 => x"0a2e",
000918 => x"0a00",
000919 => x"4300",
000920 => x"444d",
000921 => x"3a73",
000922 => x"200a",
000923 => x"3a64",
000924 => x"4420",
000925 => x"6d75",
000926 => x"2070",
000927 => x"454d",
000928 => x"0a4d",
000929 => x"6520",
000930 => x"203a",
000931 => x"6f4c",
000932 => x"6461",
000933 => x"4520",
000934 => x"5045",
000935 => x"4f52",
000936 => x"0a4d",
000937 => x"6820",
000938 => x"203a",
000939 => x"6548",
000940 => x"706c",
000941 => x"200a",
000942 => x"3a70",
000943 => x"5320",
000944 => x"6f74",
000945 => x"6572",
000946 => x"4520",
000947 => x"5045",
000948 => x"4f52",
000949 => x"0a4d",
000950 => x"7220",
000951 => x"203a",
000952 => x"6552",
000953 => x"7473",
000954 => x"7261",
000955 => x"0a74",
000956 => x"7320",
000957 => x"203a",
000958 => x"7453",
000959 => x"7261",
000960 => x"2074",
000961 => x"7061",
000962 => x"0a70",
000963 => x"7520",
000964 => x"203a",
000965 => x"7055",
000966 => x"6f6c",
000967 => x"6461",
000968 => x"0a00",
000969 => x"4d43",
000970 => x"3a44",
000971 => x"203e",
000972 => x"3a00",
000973 => x"2020",
000974 => x"5000",
000975 => x"6f72",
000976 => x"6563",
000977 => x"6465",
000978 => x"2820",
000979 => x"2f79",
000980 => x"296e",
000981 => x"003f",
000982 => x"570a",
000983 => x"6972",
000984 => x"6974",
000985 => x"676e",
000986 => x"2e2e",
000987 => x"202e",
000988 => x"4200",
000989 => x"6461",
000990 => x"4320",
000991 => x"444d",
000992 => x"0021",
others => x"0000"
);
 
/trunk/sw/bootloader/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 01.10.2019 #
# Stephan Nolting, Hannover, Germany 04.10.2019 #
#################################################################################################
 
 
88,10 → 88,10
IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen
 
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks -flto
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
 
# Linker flags
LD_OPTS = -mcpu=msp430 -mrelax -minrt -nostartfiles -flto
LD_OPTS = -mcpu=msp430 -mrelax -minrt -nostartfiles
 
 
#-------------------------------------------------------------------------------
/trunk/sw/example/blink_led/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 01.10.2019 #
# Stephan Nolting, Hannover, Germany 04.10.2019 #
#################################################################################################
 
 
96,10 → 96,10
 
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -flto
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles -flto
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
 
 
#-------------------------------------------------------------------------------
/trunk/sw/example/cfu_test/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 01.10.2019 #
# Stephan Nolting, Hannover, Germany 04.10.2019 #
#################################################################################################
 
 
96,10 → 96,10
 
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -flto
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles -flto
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
 
 
#-------------------------------------------------------------------------------
/trunk/sw/example/crc_test/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 01.10.2019 #
# Stephan Nolting, Hannover, Germany 04.10.2019 #
#################################################################################################
 
 
96,10 → 96,10
 
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -flto
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles -flto
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
 
 
#-------------------------------------------------------------------------------
/trunk/sw/example/game_of_life/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 01.10.2019 #
# Stephan Nolting, Hannover, Germany 04.10.2019 #
#################################################################################################
 
 
96,10 → 96,10
 
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -flto
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles -flto
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
 
 
#-------------------------------------------------------------------------------
/trunk/sw/example/gpio_interrupt/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 01.10.2019 #
# Stephan Nolting, Hannover, Germany 04.10.2019 #
#################################################################################################
 
 
96,10 → 96,10
 
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -flto
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles -flto
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
 
 
#-------------------------------------------------------------------------------
/trunk/sw/example/hw_analysis/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 01.10.2019 #
# Stephan Nolting, Hannover, Germany 04.10.2019 #
#################################################################################################
 
 
96,10 → 96,10
 
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -flto
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles -flto
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
 
 
#-------------------------------------------------------------------------------
/trunk/sw/example/morse_translator/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 01.10.2019 #
# Stephan Nolting, Hannover, Germany 04.10.2019 #
#################################################################################################
 
 
96,10 → 96,10
 
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -flto
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles -flto
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
 
 
#-------------------------------------------------------------------------------
/trunk/sw/example/muldiv_test/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 01.10.2019 #
# Stephan Nolting, Hannover, Germany 04.10.2019 #
#################################################################################################
 
 
96,10 → 96,10
 
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -flto
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles -flto
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
 
 
#-------------------------------------------------------------------------------
/trunk/sw/example/nested_irqs/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 01.10.2019 #
# Stephan Nolting, Hannover, Germany 04.10.2019 #
#################################################################################################
 
 
96,10 → 96,10
 
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -flto
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles -flto
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
 
 
#-------------------------------------------------------------------------------
/trunk/sw/example/prime_numbers/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 01.10.2019 #
# Stephan Nolting, Hannover, Germany 04.10.2019 #
#################################################################################################
 
 
96,10 → 96,10
 
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -flto
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles -flto
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
 
 
#-------------------------------------------------------------------------------
/trunk/sw/example/pwm_demo/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 01.10.2019 #
# Stephan Nolting, Hannover, Germany 04.10.2019 #
#################################################################################################
 
 
96,10 → 96,10
 
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -flto
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles -flto
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
 
 
#-------------------------------------------------------------------------------
/trunk/sw/example/timer_simple/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 01.10.2019 #
# Stephan Nolting, Hannover, Germany 04.10.2019 #
#################################################################################################
 
 
96,10 → 96,10
 
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -flto
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles -flto
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
 
 
#-------------------------------------------------------------------------------
/trunk/sw/example/twi_test/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 01.10.2019 #
# Stephan Nolting, Hannover, Germany 04.10.2019 #
#################################################################################################
 
 
96,10 → 96,10
 
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -flto
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles -flto
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
 
 
#-------------------------------------------------------------------------------
/trunk/sw/example/uart_irq/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 01.10.2019 #
# Stephan Nolting, Hannover, Germany 04.10.2019 #
#################################################################################################
 
 
96,10 → 96,10
 
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -flto
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles -flto
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
 
 
#-------------------------------------------------------------------------------
/trunk/sw/example/wb_terminal/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 01.10.2019 #
# Stephan Nolting, Hannover, Germany 04.10.2019 #
#################################################################################################
 
 
96,10 → 96,10
 
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -flto
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles -flto
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
 
 
#-------------------------------------------------------------------------------
/trunk/sw/example/wdt_test/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 01.10.2019 #
# Stephan Nolting, Hannover, Germany 04.10.2019 #
#################################################################################################
 
 
96,10 → 96,10
 
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -flto
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles -flto
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
 
 
#-------------------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.