URL
https://opencores.org/ocsvn/neorv32/neorv32/trunk
Subversion Repositories neorv32
Compare Revisions
- This comparison shows the changes necessary to convert path
/neorv32/trunk/sim
- from Rev 20 to Rev 22
- ↔ Reverse comparison
Rev 20 → Rev 22
/ghdl/ghdl_sim.sh
3,7 → 3,7
# Abort if any command returns != 0 |
set -e |
|
# Simulation config |
# Default simulation configuration |
SIM_CONFIG=--stop-time=5ms |
|
# Project home folder |
14,12 → 14,14
srcdir_core=$homedir/rtl/core |
srcdir_sim=$homedir/sim |
|
# Show GHDL version |
ghdl -v |
|
# Simulation time define by user? |
echo "" |
if [ -z ${1} ]; then echo "Using default simulation config: $SIM_CONFIG"; else SIM_CONFIG=$1; echo "Using user simulation config: $SIM_CONFIG"; fi |
echo "" |
|
# Show GHDL version |
ghdl -v |
|
# List files |
echo "Simulation source files:" |
ls -al $srcdir_core |
71,4 → 73,4
|
# Run simulation |
ghdl -e --work=neorv32 neorv32_tb |
ghdl -r --work=neorv32 neorv32_tb --ieee-asserts=disable --assert-level=error $SIM_CONFIG |
ghdl -r --work=neorv32 neorv32_tb --max-stack-alloc=1048576 --ieee-asserts=disable --assert-level=error $SIM_CONFIG |
/neorv32_tb.vhd
81,7 → 81,7
signal uart_rx_bitcnt : natural; |
|
-- gpio -- |
signal gpio : std_ulogic_vector(15 downto 0); |
signal gpio : std_ulogic_vector(31 downto 0); |
|
-- twi -- |
signal twi_scl, twi_sda : std_logic; |