OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /neorv32/trunk/sim
    from Rev 27 to Rev 28
    Reverse comparison

Rev 27 → Rev 28

/neorv32_tb.vhd
285,7 → 285,7
for i in 1 to wb_mem_latency_c-1 loop
wb_mem.rdata(i) <= wb_mem.rdata(i-1);
wb_mem.rb_en(i) <= wb_mem.rb_en(i-1);
wb_mem.ack(i) <= wb_mem.ack(i-1);
wb_mem.ack(i) <= wb_mem.ack(i-1) and wb_cpu.cyc;
end loop;
end if;
end if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.