OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /neorv32
    from Rev 32 to Rev 33
    Reverse comparison

Rev 32 → Rev 33

/trunk/docs/NEORV32.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/trunk/rtl/core/neorv32_application_image.vhd
6,7 → 6,7
 
package neorv32_application_image is
 
type application_init_image_t is array (0 to 865) of std_ulogic_vector(31 downto 0);
type application_init_image_t is array (0 to 665) of std_ulogic_vector(31 downto 0);
constant application_init_image : application_init_image_t := (
00000000 => x"00000093",
00000001 => x"00000113",
60,7 → 60,7
00000049 => x"00158593",
00000050 => x"ff5ff06f",
00000051 => x"00001597",
00000052 => x"cb858593",
00000052 => x"99858593",
00000053 => x"80000617",
00000054 => x"f2c60613",
00000055 => x"80000697",
103,30 → 103,30
00000092 => x"b0050513",
00000093 => x"00112623",
00000094 => x"00812423",
00000095 => x"514000ef",
00000096 => x"7f8000ef",
00000097 => x"02050c63",
00000098 => x"3a4000ef",
00000099 => x"00001537",
00000100 => x"a8c50513",
00000101 => x"598000ef",
00000102 => x"00000513",
00000103 => x"7ec000ef",
00000104 => x"00000413",
00000105 => x"0ff47513",
00000106 => x"7e0000ef",
00000107 => x"0c800513",
00000108 => x"788000ef",
00000109 => x"00140413",
00000110 => x"fedff06f",
00000111 => x"00001537",
00000112 => x"a6850513",
00000113 => x"568000ef",
00000114 => x"00c12083",
00000115 => x"00812403",
00000116 => x"00000513",
00000117 => x"01010113",
00000118 => x"00008067",
00000095 => x"478000ef",
00000096 => x"5a8000ef",
00000097 => x"02050a63",
00000098 => x"410000ef",
00000099 => x"78800513",
00000100 => x"500000ef",
00000101 => x"00000513",
00000102 => x"5a0000ef",
00000103 => x"00000413",
00000104 => x"0ff47513",
00000105 => x"594000ef",
00000106 => x"0c800513",
00000107 => x"53c000ef",
00000108 => x"00140413",
00000109 => x"fedff06f",
00000110 => x"76400513",
00000111 => x"4d4000ef",
00000112 => x"00c12083",
00000113 => x"00812403",
00000114 => x"00000513",
00000115 => x"01010113",
00000116 => x"00008067",
00000117 => x"00000000",
00000118 => x"00000000",
00000119 => x"00000000",
00000120 => x"fc010113",
00000121 => x"02112e23",
146,40 → 146,40
00000135 => x"01e12223",
00000136 => x"01f12023",
00000137 => x"34102773",
00000138 => x"342027f3",
00000139 => x"0807c863",
00000140 => x"00071683",
00000141 => x"00300593",
00000142 => x"0036f693",
00000143 => x"00270613",
00000144 => x"00b69463",
00000145 => x"00470613",
00000146 => x"34161073",
00000147 => x"00b00713",
00000148 => x"04f77a63",
00000149 => x"38000793",
00000150 => x"000780e7",
00000151 => x"03c12083",
00000152 => x"03812283",
00000153 => x"03412303",
00000154 => x"03012383",
00000155 => x"02c12503",
00000156 => x"02812583",
00000157 => x"02412603",
00000158 => x"02012683",
00000159 => x"01c12703",
00000160 => x"01812783",
00000161 => x"01412803",
00000162 => x"01012883",
00000163 => x"00c12e03",
00000164 => x"00812e83",
00000165 => x"00412f03",
00000166 => x"00012f83",
00000167 => x"04010113",
00000168 => x"30200073",
00000169 => x"00001737",
00000138 => x"34071073",
00000139 => x"342027f3",
00000140 => x"0807c663",
00000141 => x"00071683",
00000142 => x"00300593",
00000143 => x"0036f693",
00000144 => x"00270613",
00000145 => x"00b69463",
00000146 => x"00470613",
00000147 => x"34161073",
00000148 => x"00b00713",
00000149 => x"04f77a63",
00000150 => x"3e800793",
00000151 => x"000780e7",
00000152 => x"03c12083",
00000153 => x"03812283",
00000154 => x"03412303",
00000155 => x"03012383",
00000156 => x"02c12503",
00000157 => x"02812583",
00000158 => x"02412603",
00000159 => x"02012683",
00000160 => x"01c12703",
00000161 => x"01812783",
00000162 => x"01412803",
00000163 => x"01012883",
00000164 => x"00c12e03",
00000165 => x"00812e83",
00000166 => x"00412f03",
00000167 => x"00012f83",
00000168 => x"04010113",
00000169 => x"30200073",
00000170 => x"00279793",
00000171 => x"aa870713",
00000171 => x"7a400713",
00000172 => x"00e787b3",
00000173 => x"0007a783",
00000174 => x"00078067",
187,692 → 187,492
00000176 => x"ffd74713",
00000177 => x"00e787b3",
00000178 => x"01000713",
00000179 => x"f8f764e3",
00000180 => x"00001737",
00000181 => x"00279793",
00000182 => x"ad870713",
00000183 => x"00e787b3",
00000184 => x"0007a783",
00000185 => x"00078067",
00000186 => x"800007b7",
00000187 => x"0007a783",
00000188 => x"f69ff06f",
00000189 => x"800007b7",
00000190 => x"0047a783",
00000191 => x"f5dff06f",
00000192 => x"800007b7",
00000193 => x"0087a783",
00000194 => x"f51ff06f",
00000195 => x"800007b7",
00000196 => x"00c7a783",
00000197 => x"f45ff06f",
00000198 => x"8101a783",
00000199 => x"f3dff06f",
00000200 => x"8141a783",
00000201 => x"f35ff06f",
00000202 => x"8181a783",
00000203 => x"f2dff06f",
00000204 => x"81c1a783",
00000205 => x"f25ff06f",
00000206 => x"8201a783",
00000207 => x"f1dff06f",
00000208 => x"8241a783",
00000209 => x"f15ff06f",
00000210 => x"8281a783",
00000211 => x"f0dff06f",
00000212 => x"82c1a783",
00000213 => x"f05ff06f",
00000214 => x"8301a783",
00000215 => x"efdff06f",
00000216 => x"8341a783",
00000217 => x"ef5ff06f",
00000218 => x"8381a783",
00000219 => x"eedff06f",
00000220 => x"83c1a783",
00000221 => x"ee5ff06f",
00000222 => x"00000000",
00000223 => x"00000000",
00000224 => x"00001537",
00000225 => x"ff010113",
00000226 => x"b1c50513",
00000227 => x"00112623",
00000228 => x"00812423",
00000229 => x"3f0000ef",
00000230 => x"34202473",
00000231 => x"00b00793",
00000232 => x"0487f263",
00000233 => x"800007b7",
00000234 => x"ffd7c793",
00000235 => x"00f407b3",
00000236 => x"01000713",
00000237 => x"00f77c63",
00000238 => x"00001537",
00000239 => x"00040593",
00000240 => x"c9050513",
00000241 => x"3c0000ef",
00000242 => x"0400006f",
00000243 => x"00001737",
00000244 => x"00279793",
00000245 => x"cbc70713",
00000246 => x"00e787b3",
00000247 => x"0007a783",
00000248 => x"00078067",
00000249 => x"00001737",
00000250 => x"00241793",
00000251 => x"d0070713",
00000252 => x"00e787b3",
00000253 => x"0007a783",
00000254 => x"00078067",
00000255 => x"00001537",
00000256 => x"b2450513",
00000257 => x"380000ef",
00000258 => x"341025f3",
00000259 => x"00059783",
00000260 => x"00044a63",
00000261 => x"0037f793",
00000262 => x"00300713",
00000263 => x"0ce79c63",
00000264 => x"ffc58593",
00000265 => x"34302673",
00000266 => x"00812403",
00000267 => x"00c12083",
00000268 => x"00001537",
00000269 => x"ca050513",
00000270 => x"01010113",
00000271 => x"3480006f",
00000272 => x"00001537",
00000273 => x"b4450513",
00000274 => x"fbdff06f",
00000275 => x"00001537",
00000276 => x"b6050513",
00000277 => x"fb1ff06f",
00000278 => x"00001537",
00000279 => x"b7450513",
00000280 => x"fa5ff06f",
00000281 => x"00001537",
00000282 => x"b8050513",
00000283 => x"f99ff06f",
00000284 => x"00001537",
00000285 => x"b9850513",
00000286 => x"f8dff06f",
00000287 => x"00001537",
00000288 => x"bac50513",
00000289 => x"f81ff06f",
00000179 => x"f8f766e3",
00000180 => x"00279793",
00000181 => x"7d400713",
00000182 => x"00e787b3",
00000183 => x"0007a783",
00000184 => x"00078067",
00000185 => x"800007b7",
00000186 => x"0007a783",
00000187 => x"f71ff06f",
00000188 => x"800007b7",
00000189 => x"0047a783",
00000190 => x"f65ff06f",
00000191 => x"800007b7",
00000192 => x"0087a783",
00000193 => x"f59ff06f",
00000194 => x"800007b7",
00000195 => x"00c7a783",
00000196 => x"f4dff06f",
00000197 => x"8101a783",
00000198 => x"f45ff06f",
00000199 => x"8141a783",
00000200 => x"f3dff06f",
00000201 => x"8181a783",
00000202 => x"f35ff06f",
00000203 => x"81c1a783",
00000204 => x"f2dff06f",
00000205 => x"8201a783",
00000206 => x"f25ff06f",
00000207 => x"8241a783",
00000208 => x"f1dff06f",
00000209 => x"8281a783",
00000210 => x"f15ff06f",
00000211 => x"82c1a783",
00000212 => x"f0dff06f",
00000213 => x"8301a783",
00000214 => x"f05ff06f",
00000215 => x"8341a783",
00000216 => x"efdff06f",
00000217 => x"8381a783",
00000218 => x"ef5ff06f",
00000219 => x"83c1a783",
00000220 => x"eedff06f",
00000221 => x"00000000",
00000222 => x"fe010113",
00000223 => x"01212823",
00000224 => x"00050913",
00000225 => x"00001537",
00000226 => x"00912a23",
00000227 => x"81850513",
00000228 => x"000014b7",
00000229 => x"00812c23",
00000230 => x"01312623",
00000231 => x"00112e23",
00000232 => x"01c00413",
00000233 => x"2ec000ef",
00000234 => x"a5448493",
00000235 => x"ffc00993",
00000236 => x"008957b3",
00000237 => x"00f7f793",
00000238 => x"00f487b3",
00000239 => x"0007c503",
00000240 => x"ffc40413",
00000241 => x"2bc000ef",
00000242 => x"ff3414e3",
00000243 => x"01c12083",
00000244 => x"01812403",
00000245 => x"01412483",
00000246 => x"01012903",
00000247 => x"00c12983",
00000248 => x"02010113",
00000249 => x"00008067",
00000250 => x"00001537",
00000251 => x"ff010113",
00000252 => x"81c50513",
00000253 => x"00112623",
00000254 => x"00812423",
00000255 => x"294000ef",
00000256 => x"34202473",
00000257 => x"00b00793",
00000258 => x"0487f463",
00000259 => x"800007b7",
00000260 => x"ffd7c793",
00000261 => x"00f407b3",
00000262 => x"01000713",
00000263 => x"00f77e63",
00000264 => x"00001537",
00000265 => x"99050513",
00000266 => x"268000ef",
00000267 => x"00040513",
00000268 => x"f49ff0ef",
00000269 => x"0400006f",
00000270 => x"00001737",
00000271 => x"00279793",
00000272 => x"9ac70713",
00000273 => x"00e787b3",
00000274 => x"0007a783",
00000275 => x"00078067",
00000276 => x"00001737",
00000277 => x"00241793",
00000278 => x"9f070713",
00000279 => x"00e787b3",
00000280 => x"0007a783",
00000281 => x"00078067",
00000282 => x"00001537",
00000283 => x"82450513",
00000284 => x"220000ef",
00000285 => x"00001537",
00000286 => x"99c50513",
00000287 => x"214000ef",
00000288 => x"34002573",
00000289 => x"ef5ff0ef",
00000290 => x"00001537",
00000291 => x"bc850513",
00000292 => x"f75ff06f",
00000293 => x"00001537",
00000294 => x"bdc50513",
00000295 => x"f69ff06f",
00000296 => x"00001537",
00000297 => x"bf050513",
00000298 => x"f5dff06f",
00000299 => x"00001537",
00000300 => x"c0c50513",
00000301 => x"f51ff06f",
00000302 => x"00001537",
00000303 => x"c2450513",
00000304 => x"f45ff06f",
00000305 => x"00001537",
00000306 => x"c4050513",
00000307 => x"f39ff06f",
00000308 => x"00001537",
00000309 => x"c5450513",
00000310 => x"f2dff06f",
00000311 => x"00001537",
00000312 => x"c6850513",
00000313 => x"f21ff06f",
00000314 => x"00001537",
00000315 => x"c7c50513",
00000316 => x"f15ff06f",
00000317 => x"ffe58593",
00000318 => x"f2dff06f",
00000319 => x"00f00793",
00000320 => x"02a7e263",
00000321 => x"800007b7",
00000322 => x"00078793",
00000323 => x"00251513",
00000324 => x"00a78533",
00000325 => x"38000793",
00000326 => x"00f52023",
00000327 => x"00000513",
00000328 => x"00008067",
00000329 => x"00100513",
00000330 => x"00008067",
00000331 => x"ff010113",
00000332 => x"00112623",
00000333 => x"00812423",
00000334 => x"00912223",
00000335 => x"301027f3",
00000336 => x"00079863",
00000291 => x"9a050513",
00000292 => x"200000ef",
00000293 => x"34302573",
00000294 => x"ee1ff0ef",
00000295 => x"00812403",
00000296 => x"00c12083",
00000297 => x"00001537",
00000298 => x"a4c50513",
00000299 => x"01010113",
00000300 => x"1e00006f",
00000301 => x"00001537",
00000302 => x"84450513",
00000303 => x"fb5ff06f",
00000304 => x"00001537",
00000305 => x"86050513",
00000306 => x"fa9ff06f",
00000307 => x"00001537",
00000308 => x"87450513",
00000309 => x"f9dff06f",
00000310 => x"00001537",
00000311 => x"88050513",
00000312 => x"f91ff06f",
00000313 => x"00001537",
00000314 => x"89850513",
00000315 => x"f85ff06f",
00000316 => x"00001537",
00000317 => x"8ac50513",
00000318 => x"f79ff06f",
00000319 => x"00001537",
00000320 => x"8c850513",
00000321 => x"f6dff06f",
00000322 => x"00001537",
00000323 => x"8dc50513",
00000324 => x"f61ff06f",
00000325 => x"00001537",
00000326 => x"8f050513",
00000327 => x"f55ff06f",
00000328 => x"00001537",
00000329 => x"90c50513",
00000330 => x"f49ff06f",
00000331 => x"00001537",
00000332 => x"92450513",
00000333 => x"f3dff06f",
00000334 => x"00001537",
00000335 => x"94050513",
00000336 => x"f31ff06f",
00000337 => x"00001537",
00000338 => x"d3050513",
00000339 => x"238000ef",
00000340 => x"1e000793",
00000341 => x"30579073",
00000342 => x"00000413",
00000343 => x"01000493",
00000344 => x"00040513",
00000345 => x"00140413",
00000346 => x"0ff47413",
00000347 => x"f91ff0ef",
00000348 => x"fe9418e3",
00000349 => x"00c12083",
00000350 => x"00812403",
00000351 => x"00412483",
00000352 => x"01010113",
00000353 => x"00008067",
00000354 => x"fd010113",
00000355 => x"02812423",
00000356 => x"02912223",
00000357 => x"03212023",
00000358 => x"01312e23",
00000359 => x"01412c23",
00000360 => x"02112623",
00000361 => x"01512a23",
00000362 => x"00001a37",
00000363 => x"00050493",
00000364 => x"00058413",
00000365 => x"00058523",
00000366 => x"00000993",
00000367 => x"00410913",
00000368 => x"d64a0a13",
00000369 => x"00a00593",
00000370 => x"00048513",
00000371 => x"438000ef",
00000372 => x"00aa0533",
00000373 => x"00054783",
00000374 => x"01390ab3",
00000375 => x"00048513",
00000376 => x"00fa8023",
00000377 => x"00a00593",
00000378 => x"3d4000ef",
00000379 => x"00198993",
00000380 => x"00a00793",
00000381 => x"00050493",
00000382 => x"fcf996e3",
00000383 => x"00090693",
00000384 => x"00900713",
00000385 => x"03000613",
00000386 => x"0096c583",
00000387 => x"00070793",
00000388 => x"fff70713",
00000389 => x"01071713",
00000390 => x"01075713",
00000391 => x"00c59a63",
00000392 => x"000684a3",
00000393 => x"fff68693",
00000394 => x"fe0710e3",
00000395 => x"00000793",
00000396 => x"00f907b3",
00000397 => x"00000593",
00000398 => x"0007c703",
00000399 => x"00070c63",
00000400 => x"00158693",
00000401 => x"00b405b3",
00000402 => x"00e58023",
00000403 => x"01069593",
00000404 => x"0105d593",
00000405 => x"fff78713",
00000406 => x"02f91863",
00000407 => x"00b40433",
00000408 => x"00040023",
00000409 => x"02c12083",
00000410 => x"02812403",
00000411 => x"02412483",
00000412 => x"02012903",
00000413 => x"01c12983",
00000414 => x"01812a03",
00000415 => x"01412a83",
00000416 => x"03010113",
00000417 => x"00008067",
00000418 => x"00070793",
00000419 => x"fadff06f",
00000420 => x"fa002023",
00000421 => x"fe002683",
00000422 => x"00151513",
00000423 => x"00000713",
00000424 => x"04a6f263",
00000425 => x"000016b7",
00000426 => x"00000793",
00000427 => x"ffe68693",
00000428 => x"04e6e463",
00000429 => x"00167613",
00000430 => x"0015f593",
00000431 => x"01879793",
00000432 => x"01e61613",
00000433 => x"00c7e7b3",
00000434 => x"01d59593",
00000435 => x"00b7e7b3",
00000436 => x"00e7e7b3",
00000437 => x"10000737",
00000438 => x"00e7e7b3",
00000439 => x"faf02023",
00000440 => x"00008067",
00000441 => x"00170793",
00000442 => x"01079713",
00000443 => x"40a686b3",
00000444 => x"01075713",
00000445 => x"fadff06f",
00000446 => x"ffe78513",
00000447 => x"0fd57513",
00000448 => x"00051a63",
00000449 => x"00375713",
00000450 => x"00178793",
00000451 => x"0ff7f793",
00000452 => x"fa1ff06f",
00000453 => x"00175713",
00000454 => x"ff1ff06f",
00000455 => x"fa002783",
00000456 => x"fe07cee3",
00000457 => x"faa02223",
00000458 => x"00008067",
00000459 => x"ff010113",
00000460 => x"00812423",
00000461 => x"01212023",
00000462 => x"00112623",
00000463 => x"00912223",
00000464 => x"00050413",
00000465 => x"00a00913",
00000466 => x"00044483",
00000467 => x"00140413",
00000468 => x"00049e63",
00000469 => x"00c12083",
00000470 => x"00812403",
00000471 => x"00412483",
00000472 => x"00012903",
00000473 => x"01010113",
00000474 => x"00008067",
00000475 => x"01249663",
00000476 => x"00d00513",
00000477 => x"fa9ff0ef",
00000478 => x"00048513",
00000479 => x"fa1ff0ef",
00000480 => x"fc9ff06f",
00000481 => x"fa010113",
00000482 => x"02912a23",
00000483 => x"04f12a23",
00000484 => x"000014b7",
00000485 => x"04410793",
00000486 => x"02812c23",
00000487 => x"03212823",
00000488 => x"03412423",
00000489 => x"03512223",
00000490 => x"03612023",
00000491 => x"01712e23",
00000492 => x"02112e23",
00000493 => x"03312623",
00000494 => x"01812c23",
00000495 => x"00050413",
00000496 => x"04b12223",
00000497 => x"04c12423",
00000498 => x"04d12623",
00000499 => x"04e12823",
00000500 => x"05012c23",
00000501 => x"05112e23",
00000502 => x"00f12023",
00000503 => x"02500a13",
00000504 => x"00a00a93",
00000505 => x"07300913",
00000506 => x"07500b13",
00000507 => x"07800b93",
00000508 => x"d7048493",
00000509 => x"00044c03",
00000510 => x"020c0463",
00000511 => x"134c1263",
00000512 => x"00144783",
00000513 => x"00240993",
00000514 => x"09278c63",
00000515 => x"04f96263",
00000516 => x"06300713",
00000517 => x"0ae78463",
00000518 => x"06900713",
00000519 => x"0ae78c63",
00000520 => x"03c12083",
00000521 => x"03812403",
00000522 => x"03412483",
00000523 => x"03012903",
00000524 => x"02c12983",
00000525 => x"02812a03",
00000526 => x"02412a83",
00000527 => x"02012b03",
00000528 => x"01c12b83",
00000529 => x"01812c03",
00000530 => x"06010113",
00000531 => x"00008067",
00000532 => x"0b678c63",
00000533 => x"fd7796e3",
00000534 => x"00012783",
00000535 => x"00410693",
00000536 => x"00068513",
00000537 => x"0007a583",
00000538 => x"00478713",
00000539 => x"00e12023",
00000540 => x"02000613",
00000541 => x"00000713",
00000542 => x"00e5d7b3",
00000543 => x"00f7f793",
00000544 => x"00f487b3",
00000545 => x"0007c783",
00000546 => x"00470713",
00000547 => x"fff68693",
00000548 => x"00f68423",
00000549 => x"fec712e3",
00000550 => x"00010623",
00000551 => x"0140006f",
00000552 => x"00012783",
00000553 => x"0007a503",
00000554 => x"00478713",
00000555 => x"00e12023",
00000556 => x"e7dff0ef",
00000557 => x"00098413",
00000558 => x"f3dff06f",
00000559 => x"00012783",
00000560 => x"0007c503",
00000561 => x"00478713",
00000562 => x"00e12023",
00000563 => x"e51ff0ef",
00000564 => x"fe5ff06f",
00000565 => x"00012783",
00000566 => x"0007a403",
00000567 => x"00478713",
00000568 => x"00e12023",
00000569 => x"00045863",
00000570 => x"02d00513",
00000571 => x"40800433",
00000572 => x"e2dff0ef",
00000573 => x"00410593",
00000574 => x"00040513",
00000575 => x"c8dff0ef",
00000576 => x"00410513",
00000577 => x"fadff06f",
00000578 => x"00012783",
00000579 => x"00410593",
00000580 => x"00478713",
00000581 => x"0007a503",
00000582 => x"00e12023",
00000583 => x"fe1ff06f",
00000584 => x"015c1663",
00000585 => x"00d00513",
00000586 => x"df5ff0ef",
00000587 => x"00140993",
00000588 => x"000c0513",
00000589 => x"f99ff06f",
00000590 => x"00050593",
00000591 => x"fe002503",
00000592 => x"ff010113",
00000593 => x"00112623",
00000594 => x"00f55513",
00000595 => x"044000ef",
00000596 => x"00051863",
00000597 => x"00c12083",
00000598 => x"01010113",
00000599 => x"00008067",
00000600 => x"00000013",
00000601 => x"00000013",
00000602 => x"00000013",
00000603 => x"00000013",
00000604 => x"fff50513",
00000605 => x"fddff06f",
00000606 => x"fe802503",
00000607 => x"01055513",
00000608 => x"00157513",
00000609 => x"00008067",
00000610 => x"f8a02223",
00000611 => x"00008067",
00000612 => x"00050613",
00000613 => x"00000513",
00000614 => x"0015f693",
00000615 => x"00068463",
00000616 => x"00c50533",
00000617 => x"0015d593",
00000618 => x"00161613",
00000619 => x"fe0596e3",
00000620 => x"00008067",
00000621 => x"06054063",
00000622 => x"0605c663",
00000623 => x"00058613",
00000624 => x"00050593",
00000625 => x"fff00513",
00000626 => x"02060c63",
00000627 => x"00100693",
00000628 => x"00b67a63",
00000629 => x"00c05863",
00000630 => x"00161613",
00000631 => x"00169693",
00000632 => x"feb66ae3",
00000633 => x"00000513",
00000634 => x"00c5e663",
00000635 => x"40c585b3",
00000636 => x"00d56533",
00000637 => x"0016d693",
00000638 => x"00165613",
00000639 => x"fe0696e3",
00000640 => x"00008067",
00000641 => x"00008293",
00000642 => x"fb5ff0ef",
00000643 => x"00058513",
00000644 => x"00028067",
00000645 => x"40a00533",
00000646 => x"00b04863",
00000647 => x"40b005b3",
00000648 => x"f9dff06f",
00000649 => x"40b005b3",
00000650 => x"00008293",
00000651 => x"f91ff0ef",
00000652 => x"40a00533",
00000653 => x"00028067",
00000654 => x"00008293",
00000655 => x"0005ca63",
00000656 => x"00054c63",
00000657 => x"f79ff0ef",
00000658 => x"00058513",
00000659 => x"00028067",
00000660 => x"40b005b3",
00000661 => x"fe0558e3",
00000662 => x"40a00533",
00000663 => x"f61ff0ef",
00000664 => x"40b00533",
00000665 => x"00028067",
00000666 => x"6f727245",
00000667 => x"4e202172",
00000668 => x"5047206f",
00000669 => x"75204f49",
00000670 => x"2074696e",
00000671 => x"746e7973",
00000672 => x"69736568",
00000673 => x"2164657a",
00000674 => x"0000000a",
00000675 => x"6e696c42",
00000676 => x"676e696b",
00000677 => x"44454c20",
00000678 => x"6d656420",
00000679 => x"7270206f",
00000680 => x"6172676f",
00000681 => x"00000a6d",
00000682 => x"000002e8",
00000683 => x"000002f4",
00000684 => x"00000300",
00000685 => x"0000030c",
00000686 => x"00000318",
00000687 => x"00000320",
00000688 => x"00000328",
00000689 => x"00000330",
00000690 => x"00000254",
00000691 => x"00000254",
00000692 => x"00000254",
00000693 => x"00000338",
00000694 => x"00000340",
00000695 => x"00000254",
00000696 => x"00000254",
00000697 => x"00000254",
00000698 => x"00000348",
00000699 => x"00000254",
00000700 => x"00000254",
00000701 => x"00000254",
00000702 => x"00000350",
00000703 => x"00000254",
00000704 => x"00000254",
00000705 => x"00000254",
00000706 => x"00000254",
00000707 => x"00000358",
00000708 => x"00000360",
00000709 => x"00000368",
00000710 => x"00000370",
00000711 => x"4554523c",
00000712 => x"0000203e",
00000713 => x"74736e49",
00000714 => x"74637572",
00000715 => x"206e6f69",
00000716 => x"72646461",
00000717 => x"20737365",
00000718 => x"6173696d",
00000719 => x"6e67696c",
00000720 => x"00006465",
00000721 => x"74736e49",
00000722 => x"74637572",
00000723 => x"206e6f69",
00000724 => x"65636361",
00000725 => x"66207373",
00000726 => x"746c7561",
00000727 => x"00000000",
00000728 => x"656c6c49",
00000729 => x"206c6167",
00000730 => x"74736e69",
00000731 => x"74637572",
00000732 => x"006e6f69",
00000733 => x"61657242",
00000734 => x"696f706b",
00000735 => x"0000746e",
00000736 => x"64616f4c",
00000737 => x"64646120",
00000738 => x"73736572",
00000739 => x"73696d20",
00000740 => x"67696c61",
00000741 => x"0064656e",
00000742 => x"64616f4c",
00000743 => x"63636120",
00000744 => x"20737365",
00000745 => x"6c756166",
00000746 => x"00000074",
00000747 => x"726f7453",
00000748 => x"64612065",
00000749 => x"73657264",
00000750 => x"696d2073",
00000751 => x"696c6173",
00000752 => x"64656e67",
00000753 => x"00000000",
00000754 => x"726f7453",
00000755 => x"63612065",
00000756 => x"73736563",
00000757 => x"75616620",
00000758 => x"0000746c",
00000759 => x"69766e45",
00000760 => x"6d6e6f72",
00000761 => x"20746e65",
00000762 => x"6c6c6163",
00000763 => x"00000000",
00000764 => x"6863614d",
00000765 => x"20656e69",
00000766 => x"74666f73",
00000767 => x"65726177",
00000768 => x"746e6920",
00000769 => x"75727265",
00000770 => x"00007470",
00000771 => x"6863614d",
00000772 => x"20656e69",
00000773 => x"656d6974",
00000774 => x"6e692072",
00000775 => x"72726574",
00000776 => x"00747075",
00000777 => x"6863614d",
00000778 => x"20656e69",
00000779 => x"65747865",
00000780 => x"6c616e72",
00000781 => x"746e6920",
00000782 => x"75727265",
00000783 => x"00007470",
00000784 => x"74736146",
00000785 => x"746e6920",
00000786 => x"75727265",
00000787 => x"30207470",
00000788 => x"00000000",
00000789 => x"74736146",
00000790 => x"746e6920",
00000791 => x"75727265",
00000792 => x"31207470",
00000793 => x"00000000",
00000794 => x"74736146",
00000795 => x"746e6920",
00000796 => x"75727265",
00000797 => x"32207470",
00000798 => x"00000000",
00000799 => x"74736146",
00000800 => x"746e6920",
00000801 => x"75727265",
00000802 => x"33207470",
00000803 => x"00000000",
00000804 => x"6e6b6e55",
00000805 => x"206e776f",
00000806 => x"25783028",
00000807 => x"00002978",
00000808 => x"30204020",
00000809 => x"2c782578",
00000810 => x"56544d20",
00000811 => x"303d4c41",
00000812 => x"20782578",
00000813 => x"54522f3c",
00000814 => x"00003e45",
00000815 => x"000004a0",
00000816 => x"000003b8",
00000817 => x"000003b8",
00000818 => x"000003b8",
00000819 => x"000004ac",
00000820 => x"000003b8",
00000821 => x"000003b8",
00000822 => x"000003b8",
00000823 => x"000004b8",
00000824 => x"000003b8",
00000825 => x"000003b8",
00000826 => x"000003b8",
00000827 => x"000003b8",
00000828 => x"000004c4",
00000829 => x"000004d0",
00000830 => x"000004dc",
00000831 => x"000004e8",
00000832 => x"000003fc",
00000833 => x"00000440",
00000834 => x"0000044c",
00000835 => x"00000458",
00000836 => x"00000464",
00000837 => x"00000470",
00000838 => x"0000047c",
00000839 => x"00000488",
00000840 => x"000003b8",
00000841 => x"000003b8",
00000842 => x"000003b8",
00000843 => x"00000494",
00000844 => x"4554523c",
00000845 => x"4157203e",
00000846 => x"4e494e52",
00000847 => x"43202147",
00000848 => x"43205550",
00000849 => x"73205253",
00000850 => x"65747379",
00000851 => x"6f6e206d",
00000852 => x"76612074",
00000853 => x"616c6961",
00000854 => x"21656c62",
00000855 => x"522f3c20",
00000856 => x"003e4554",
00000857 => x"33323130",
00000858 => x"37363534",
00000859 => x"00003938",
00000860 => x"33323130",
00000861 => x"37363534",
00000862 => x"62613938",
00000863 => x"66656463",
00000864 => x"00000000",
00000338 => x"95450513",
00000339 => x"f25ff06f",
00000340 => x"00001537",
00000341 => x"96850513",
00000342 => x"f19ff06f",
00000343 => x"00001537",
00000344 => x"97c50513",
00000345 => x"f0dff06f",
00000346 => x"00f00793",
00000347 => x"02a7e263",
00000348 => x"800007b7",
00000349 => x"00078793",
00000350 => x"00251513",
00000351 => x"00a78533",
00000352 => x"3e800793",
00000353 => x"00f52023",
00000354 => x"00000513",
00000355 => x"00008067",
00000356 => x"00100513",
00000357 => x"00008067",
00000358 => x"ff010113",
00000359 => x"00112623",
00000360 => x"00812423",
00000361 => x"00912223",
00000362 => x"301027f3",
00000363 => x"00079863",
00000364 => x"00001537",
00000365 => x"a2050513",
00000366 => x"0d8000ef",
00000367 => x"1e000793",
00000368 => x"30579073",
00000369 => x"00000413",
00000370 => x"01000493",
00000371 => x"00040513",
00000372 => x"00140413",
00000373 => x"0ff47413",
00000374 => x"f91ff0ef",
00000375 => x"fe9418e3",
00000376 => x"00c12083",
00000377 => x"00812403",
00000378 => x"00412483",
00000379 => x"01010113",
00000380 => x"00008067",
00000381 => x"fa002023",
00000382 => x"fe002683",
00000383 => x"00151513",
00000384 => x"00000713",
00000385 => x"04a6f263",
00000386 => x"000016b7",
00000387 => x"00000793",
00000388 => x"ffe68693",
00000389 => x"04e6e463",
00000390 => x"00167613",
00000391 => x"0015f593",
00000392 => x"01879793",
00000393 => x"01e61613",
00000394 => x"00c7e7b3",
00000395 => x"01d59593",
00000396 => x"00b7e7b3",
00000397 => x"00e7e7b3",
00000398 => x"10000737",
00000399 => x"00e7e7b3",
00000400 => x"faf02023",
00000401 => x"00008067",
00000402 => x"00170793",
00000403 => x"01079713",
00000404 => x"40a686b3",
00000405 => x"01075713",
00000406 => x"fadff06f",
00000407 => x"ffe78513",
00000408 => x"0fd57513",
00000409 => x"00051a63",
00000410 => x"00375713",
00000411 => x"00178793",
00000412 => x"0ff7f793",
00000413 => x"fa1ff06f",
00000414 => x"00175713",
00000415 => x"ff1ff06f",
00000416 => x"fa002783",
00000417 => x"fe07cee3",
00000418 => x"faa02223",
00000419 => x"00008067",
00000420 => x"ff010113",
00000421 => x"00812423",
00000422 => x"01212023",
00000423 => x"00112623",
00000424 => x"00912223",
00000425 => x"00050413",
00000426 => x"00a00913",
00000427 => x"00044483",
00000428 => x"00140413",
00000429 => x"00049e63",
00000430 => x"00c12083",
00000431 => x"00812403",
00000432 => x"00412483",
00000433 => x"00012903",
00000434 => x"01010113",
00000435 => x"00008067",
00000436 => x"01249663",
00000437 => x"00d00513",
00000438 => x"fa9ff0ef",
00000439 => x"00048513",
00000440 => x"fa1ff0ef",
00000441 => x"fc9ff06f",
00000442 => x"00050593",
00000443 => x"fe002503",
00000444 => x"ff010113",
00000445 => x"00112623",
00000446 => x"00f55513",
00000447 => x"044000ef",
00000448 => x"00051863",
00000449 => x"00c12083",
00000450 => x"01010113",
00000451 => x"00008067",
00000452 => x"00000013",
00000453 => x"00000013",
00000454 => x"00000013",
00000455 => x"00000013",
00000456 => x"fff50513",
00000457 => x"fddff06f",
00000458 => x"fe802503",
00000459 => x"01055513",
00000460 => x"00157513",
00000461 => x"00008067",
00000462 => x"f8a02223",
00000463 => x"00008067",
00000464 => x"00050613",
00000465 => x"00000513",
00000466 => x"0015f693",
00000467 => x"00068463",
00000468 => x"00c50533",
00000469 => x"0015d593",
00000470 => x"00161613",
00000471 => x"fe0596e3",
00000472 => x"00008067",
00000473 => x"6f727245",
00000474 => x"4e202172",
00000475 => x"5047206f",
00000476 => x"75204f49",
00000477 => x"2074696e",
00000478 => x"746e7973",
00000479 => x"69736568",
00000480 => x"2164657a",
00000481 => x"0000000a",
00000482 => x"6e696c42",
00000483 => x"676e696b",
00000484 => x"44454c20",
00000485 => x"6d656420",
00000486 => x"7270206f",
00000487 => x"6172676f",
00000488 => x"00000a6d",
00000489 => x"000002e4",
00000490 => x"000002f0",
00000491 => x"000002fc",
00000492 => x"00000308",
00000493 => x"00000314",
00000494 => x"0000031c",
00000495 => x"00000324",
00000496 => x"0000032c",
00000497 => x"00000258",
00000498 => x"00000258",
00000499 => x"00000258",
00000500 => x"00000334",
00000501 => x"0000033c",
00000502 => x"00000258",
00000503 => x"00000258",
00000504 => x"00000258",
00000505 => x"00000344",
00000506 => x"00000258",
00000507 => x"00000258",
00000508 => x"00000258",
00000509 => x"0000034c",
00000510 => x"00000258",
00000511 => x"00000258",
00000512 => x"00000258",
00000513 => x"00000258",
00000514 => x"00000354",
00000515 => x"0000035c",
00000516 => x"00000364",
00000517 => x"0000036c",
00000518 => x"00007830",
00000519 => x"4554523c",
00000520 => x"0000203e",
00000521 => x"74736e49",
00000522 => x"74637572",
00000523 => x"206e6f69",
00000524 => x"72646461",
00000525 => x"20737365",
00000526 => x"6173696d",
00000527 => x"6e67696c",
00000528 => x"00006465",
00000529 => x"74736e49",
00000530 => x"74637572",
00000531 => x"206e6f69",
00000532 => x"65636361",
00000533 => x"66207373",
00000534 => x"746c7561",
00000535 => x"00000000",
00000536 => x"656c6c49",
00000537 => x"206c6167",
00000538 => x"74736e69",
00000539 => x"74637572",
00000540 => x"006e6f69",
00000541 => x"61657242",
00000542 => x"696f706b",
00000543 => x"0000746e",
00000544 => x"64616f4c",
00000545 => x"64646120",
00000546 => x"73736572",
00000547 => x"73696d20",
00000548 => x"67696c61",
00000549 => x"0064656e",
00000550 => x"64616f4c",
00000551 => x"63636120",
00000552 => x"20737365",
00000553 => x"6c756166",
00000554 => x"00000074",
00000555 => x"726f7453",
00000556 => x"64612065",
00000557 => x"73657264",
00000558 => x"696d2073",
00000559 => x"696c6173",
00000560 => x"64656e67",
00000561 => x"00000000",
00000562 => x"726f7453",
00000563 => x"63612065",
00000564 => x"73736563",
00000565 => x"75616620",
00000566 => x"0000746c",
00000567 => x"69766e45",
00000568 => x"6d6e6f72",
00000569 => x"20746e65",
00000570 => x"6c6c6163",
00000571 => x"00000000",
00000572 => x"6863614d",
00000573 => x"20656e69",
00000574 => x"74666f73",
00000575 => x"65726177",
00000576 => x"746e6920",
00000577 => x"75727265",
00000578 => x"00007470",
00000579 => x"6863614d",
00000580 => x"20656e69",
00000581 => x"656d6974",
00000582 => x"6e692072",
00000583 => x"72726574",
00000584 => x"00747075",
00000585 => x"6863614d",
00000586 => x"20656e69",
00000587 => x"65747865",
00000588 => x"6c616e72",
00000589 => x"746e6920",
00000590 => x"75727265",
00000591 => x"00007470",
00000592 => x"74736146",
00000593 => x"746e6920",
00000594 => x"75727265",
00000595 => x"30207470",
00000596 => x"00000000",
00000597 => x"74736146",
00000598 => x"746e6920",
00000599 => x"75727265",
00000600 => x"31207470",
00000601 => x"00000000",
00000602 => x"74736146",
00000603 => x"746e6920",
00000604 => x"75727265",
00000605 => x"32207470",
00000606 => x"00000000",
00000607 => x"74736146",
00000608 => x"746e6920",
00000609 => x"75727265",
00000610 => x"33207470",
00000611 => x"00000000",
00000612 => x"6e6b6e55",
00000613 => x"206e776f",
00000614 => x"00000000",
00000615 => x"00204020",
00000616 => x"544d202c",
00000617 => x"3d4c4156",
00000618 => x"00000000",
00000619 => x"00000514",
00000620 => x"00000420",
00000621 => x"00000420",
00000622 => x"00000420",
00000623 => x"00000520",
00000624 => x"00000420",
00000625 => x"00000420",
00000626 => x"00000420",
00000627 => x"0000052c",
00000628 => x"00000420",
00000629 => x"00000420",
00000630 => x"00000420",
00000631 => x"00000420",
00000632 => x"00000538",
00000633 => x"00000544",
00000634 => x"00000550",
00000635 => x"0000055c",
00000636 => x"00000468",
00000637 => x"000004b4",
00000638 => x"000004c0",
00000639 => x"000004cc",
00000640 => x"000004d8",
00000641 => x"000004e4",
00000642 => x"000004f0",
00000643 => x"000004fc",
00000644 => x"00000420",
00000645 => x"00000420",
00000646 => x"00000420",
00000647 => x"00000508",
00000648 => x"4554523c",
00000649 => x"4157203e",
00000650 => x"4e494e52",
00000651 => x"43202147",
00000652 => x"43205550",
00000653 => x"73205253",
00000654 => x"65747379",
00000655 => x"6f6e206d",
00000656 => x"76612074",
00000657 => x"616c6961",
00000658 => x"21656c62",
00000659 => x"522f3c20",
00000660 => x"003e4554",
00000661 => x"33323130",
00000662 => x"37363534",
00000663 => x"42413938",
00000664 => x"46454443",
others => x"00000000"
);
 
/trunk/rtl/core/neorv32_bootloader_image.vhd
6,7 → 6,7
 
package neorv32_bootloader_image is
 
type bootloader_init_image_t is array (0 to 1015) of std_ulogic_vector(31 downto 0);
type bootloader_init_image_t is array (0 to 1013) of std_ulogic_vector(31 downto 0);
constant bootloader_init_image : bootloader_init_image_t := (
00000000 => x"00000093",
00000001 => x"00000113",
44,7 → 44,7
00000033 => x"00158593",
00000034 => x"ff5ff06f",
00000035 => x"00001597",
00000036 => x"f5058593",
00000036 => x"f4858593",
00000037 => x"80010617",
00000038 => x"f6c60613",
00000039 => x"80010697",
101,18 → 101,18
00000090 => x"00200513",
00000091 => x"0087f463",
00000092 => x"00400513",
00000093 => x"391000ef",
00000093 => x"389000ef",
00000094 => x"00005537",
00000095 => x"00000613",
00000096 => x"00000593",
00000097 => x"b0050513",
00000098 => x"279000ef",
00000099 => x"231000ef",
00000098 => x"271000ef",
00000099 => x"229000ef",
00000100 => x"00245793",
00000101 => x"00a78533",
00000102 => x"00f537b3",
00000103 => x"00b785b3",
00000104 => x"249000ef",
00000104 => x"241000ef",
00000105 => x"ffff07b7",
00000106 => x"49478793",
00000107 => x"30579073",
120,58 → 120,58
00000109 => x"30479073",
00000110 => x"30046073",
00000111 => x"00100513",
00000112 => x"405000ef",
00000112 => x"3fd000ef",
00000113 => x"ffff1537",
00000114 => x"800007b7",
00000115 => x"ef450513",
00000115 => x"eec50513",
00000116 => x"0007a023",
00000117 => x"2d9000ef",
00000117 => x"2d1000ef",
00000118 => x"12d000ef",
00000119 => x"ffff1537",
00000120 => x"f2c50513",
00000121 => x"2c9000ef",
00000120 => x"f2450513",
00000121 => x"2c1000ef",
00000122 => x"fe002503",
00000123 => x"238000ef",
00000124 => x"ffff1537",
00000125 => x"f3450513",
00000126 => x"2b5000ef",
00000125 => x"f2c50513",
00000126 => x"2ad000ef",
00000127 => x"fe402503",
00000128 => x"224000ef",
00000129 => x"ffff1537",
00000130 => x"f4050513",
00000131 => x"2a1000ef",
00000130 => x"f3850513",
00000131 => x"299000ef",
00000132 => x"30102573",
00000133 => x"210000ef",
00000134 => x"ffff1537",
00000135 => x"f4850513",
00000136 => x"28d000ef",
00000135 => x"f4050513",
00000136 => x"285000ef",
00000137 => x"fe802503",
00000138 => x"ffff14b7",
00000139 => x"00341413",
00000140 => x"1f4000ef",
00000141 => x"ffff1537",
00000142 => x"f5050513",
00000143 => x"271000ef",
00000142 => x"f4850513",
00000143 => x"269000ef",
00000144 => x"ff802503",
00000145 => x"ffff1937",
00000146 => x"1dc000ef",
00000147 => x"f5848513",
00000148 => x"25d000ef",
00000147 => x"f5048513",
00000148 => x"255000ef",
00000149 => x"ff002503",
00000150 => x"1cc000ef",
00000151 => x"ffff1537",
00000152 => x"f6450513",
00000153 => x"249000ef",
00000152 => x"f5c50513",
00000153 => x"241000ef",
00000154 => x"ffc02503",
00000155 => x"1b8000ef",
00000156 => x"f5848513",
00000157 => x"239000ef",
00000156 => x"f5048513",
00000157 => x"231000ef",
00000158 => x"ff402503",
00000159 => x"1a8000ef",
00000160 => x"ffff1537",
00000161 => x"f6c50513",
00000162 => x"225000ef",
00000163 => x"131000ef",
00000161 => x"f6450513",
00000162 => x"21d000ef",
00000163 => x"129000ef",
00000164 => x"00a404b3",
00000165 => x"0084b433",
00000166 => x"00b40433",
178,8 → 178,8
00000167 => x"fa402783",
00000168 => x"0607d263",
00000169 => x"ffff1537",
00000170 => x"f9850513",
00000171 => x"201000ef",
00000170 => x"f9050513",
00000171 => x"1f9000ef",
00000172 => x"ffff1937",
00000173 => x"0d4000ef",
00000174 => x"ffff19b7",
189,26 → 189,26
00000178 => x"07300b93",
00000179 => x"ffff14b7",
00000180 => x"ffff1c37",
00000181 => x"fa490513",
00000182 => x"1d5000ef",
00000183 => x"1c1000ef",
00000181 => x"f9c90513",
00000182 => x"1cd000ef",
00000183 => x"1b9000ef",
00000184 => x"00050413",
00000185 => x"1a9000ef",
00000186 => x"f9498513",
00000187 => x"1c1000ef",
00000185 => x"1a1000ef",
00000186 => x"f8c98513",
00000187 => x"1b9000ef",
00000188 => x"03441e63",
00000189 => x"30047073",
00000190 => x"ffff02b7",
00000191 => x"00028067",
00000192 => x"0000006f",
00000193 => x"0b9000ef",
00000193 => x"0b1000ef",
00000194 => x"f885eae3",
00000195 => x"00b41463",
00000196 => x"f89566e3",
00000197 => x"00100513",
00000198 => x"434000ef",
00000199 => x"f9490513",
00000200 => x"18d000ef",
00000199 => x"f8c90513",
00000200 => x"185000ef",
00000201 => x"070000ef",
00000202 => x"f75ff06f",
00000203 => x"01541663",
229,26 → 229,26
00000218 => x"02c000ef",
00000219 => x"f69ff06f",
00000220 => x"03f00793",
00000221 => x"facc0513",
00000221 => x"fa4c0513",
00000222 => x"00f40463",
00000223 => x"fc048513",
00000224 => x"12d000ef",
00000223 => x"fb848513",
00000224 => x"125000ef",
00000225 => x"f51ff06f",
00000226 => x"ffff1537",
00000227 => x"dcc50513",
00000228 => x"11d0006f",
00000227 => x"dc450513",
00000228 => x"1150006f",
00000229 => x"800007b7",
00000230 => x"0007a783",
00000231 => x"00079863",
00000232 => x"ffff1537",
00000233 => x"e3050513",
00000234 => x"1050006f",
00000233 => x"e2850513",
00000234 => x"0fd0006f",
00000235 => x"ff010113",
00000236 => x"00112623",
00000237 => x"30047073",
00000238 => x"ffff1537",
00000239 => x"e4c50513",
00000240 => x"0ed000ef",
00000239 => x"e4450513",
00000240 => x"0e5000ef",
00000241 => x"fa002783",
00000242 => x"fe07cee3",
00000243 => x"b0001073",
262,15 → 262,15
00000251 => x"00812423",
00000252 => x"00050413",
00000253 => x"ffff1537",
00000254 => x"e5c50513",
00000254 => x"e5450513",
00000255 => x"00112623",
00000256 => x"0ad000ef",
00000256 => x"0a5000ef",
00000257 => x"03040513",
00000258 => x"0ff57513",
00000259 => x"081000ef",
00000259 => x"079000ef",
00000260 => x"30047073",
00000261 => x"00100513",
00000262 => x"1ad000ef",
00000262 => x"1a5000ef",
00000263 => x"10500073",
00000264 => x"0000006f",
00000265 => x"fe010113",
278,14 → 278,14
00000267 => x"00050913",
00000268 => x"ffff1537",
00000269 => x"00912a23",
00000270 => x"e7c50513",
00000270 => x"e7450513",
00000271 => x"ffff14b7",
00000272 => x"00812c23",
00000273 => x"01312623",
00000274 => x"00112e23",
00000275 => x"01c00413",
00000276 => x"05d000ef",
00000277 => x"fcc48493",
00000276 => x"055000ef",
00000277 => x"fc448493",
00000278 => x"ffc00993",
00000279 => x"008957b3",
00000280 => x"00f7f793",
292,7 → 292,7
00000281 => x"00f487b3",
00000282 => x"0007c503",
00000283 => x"ffc40413",
00000284 => x"01d000ef",
00000284 => x"015000ef",
00000285 => x"ff3414e3",
00000286 => x"01c12083",
00000287 => x"01812403",
324,14 → 324,14
00000313 => x"00778793",
00000314 => x"06f41a63",
00000315 => x"00000513",
00000316 => x"0b9000ef",
00000317 => x"6c8000ef",
00000316 => x"0b1000ef",
00000317 => x"6c0000ef",
00000318 => x"fe002783",
00000319 => x"0027d793",
00000320 => x"00a78533",
00000321 => x"00f537b3",
00000322 => x"00b785b3",
00000323 => x"6dc000ef",
00000323 => x"6d4000ef",
00000324 => x"03c12403",
00000325 => x"04c12083",
00000326 => x"04812283",
355,13 → 355,13
00000344 => x"00100513",
00000345 => x"02f40863",
00000346 => x"ffff1537",
00000347 => x"e6850513",
00000348 => x"73c000ef",
00000347 => x"e6050513",
00000348 => x"734000ef",
00000349 => x"00040513",
00000350 => x"eadff0ef",
00000351 => x"ffff1537",
00000352 => x"e7850513",
00000353 => x"728000ef",
00000352 => x"e7050513",
00000353 => x"720000ef",
00000354 => x"34102573",
00000355 => x"e99ff0ef",
00000356 => x"00500513",
370,14 → 370,14
00000359 => x"00000513",
00000360 => x"00112623",
00000361 => x"00812423",
00000362 => x"7a8000ef",
00000362 => x"7a0000ef",
00000363 => x"00500513",
00000364 => x"7e4000ef",
00000364 => x"7dc000ef",
00000365 => x"00000513",
00000366 => x"7dc000ef",
00000366 => x"7d4000ef",
00000367 => x"00050413",
00000368 => x"00000513",
00000369 => x"7ac000ef",
00000369 => x"7a4000ef",
00000370 => x"00c12083",
00000371 => x"0ff47513",
00000372 => x"00812403",
387,14 → 387,14
00000376 => x"00000513",
00000377 => x"00112623",
00000378 => x"00812423",
00000379 => x"764000ef",
00000379 => x"75c000ef",
00000380 => x"09e00513",
00000381 => x"7a0000ef",
00000381 => x"798000ef",
00000382 => x"00000513",
00000383 => x"798000ef",
00000383 => x"790000ef",
00000384 => x"00050413",
00000385 => x"00000513",
00000386 => x"768000ef",
00000386 => x"760000ef",
00000387 => x"00c12083",
00000388 => x"0ff47513",
00000389 => x"00812403",
403,13 → 403,13
00000392 => x"ff010113",
00000393 => x"00000513",
00000394 => x"00112623",
00000395 => x"724000ef",
00000395 => x"71c000ef",
00000396 => x"00600513",
00000397 => x"760000ef",
00000397 => x"758000ef",
00000398 => x"00c12083",
00000399 => x"00000513",
00000400 => x"01010113",
00000401 => x"72c0006f",
00000401 => x"7240006f",
00000402 => x"ff010113",
00000403 => x"00812423",
00000404 => x"00050413",
416,30 → 416,30
00000405 => x"01055513",
00000406 => x"0ff57513",
00000407 => x"00112623",
00000408 => x"734000ef",
00000408 => x"72c000ef",
00000409 => x"00845513",
00000410 => x"0ff57513",
00000411 => x"728000ef",
00000411 => x"720000ef",
00000412 => x"0ff47513",
00000413 => x"00812403",
00000414 => x"00c12083",
00000415 => x"01010113",
00000416 => x"7140006f",
00000416 => x"70c0006f",
00000417 => x"ff010113",
00000418 => x"00812423",
00000419 => x"00050413",
00000420 => x"00000513",
00000421 => x"00112623",
00000422 => x"6b8000ef",
00000422 => x"6b0000ef",
00000423 => x"00300513",
00000424 => x"6f4000ef",
00000424 => x"6ec000ef",
00000425 => x"00040513",
00000426 => x"fa1ff0ef",
00000427 => x"00000513",
00000428 => x"6e4000ef",
00000428 => x"6dc000ef",
00000429 => x"00050413",
00000430 => x"00000513",
00000431 => x"6b4000ef",
00000431 => x"6ac000ef",
00000432 => x"00c12083",
00000433 => x"0ff47513",
00000434 => x"00812403",
458,7 → 458,7
00000447 => x"00000413",
00000448 => x"00400a13",
00000449 => x"02091e63",
00000450 => x"594000ef",
00000450 => x"58c000ef",
00000451 => x"00a481a3",
00000452 => x"00140413",
00000453 => x"fff48493",
493,8 → 493,8
00000482 => x"00050493",
00000483 => x"02051863",
00000484 => x"ffff1537",
00000485 => x"e8050513",
00000486 => x"514000ef",
00000485 => x"e7850513",
00000486 => x"50c000ef",
00000487 => x"008005b7",
00000488 => x"00048513",
00000489 => x"f31ff0ef",
504,8 → 504,8
00000493 => x"00000513",
00000494 => x"fcdff06f",
00000495 => x"ffff1537",
00000496 => x"ea050513",
00000497 => x"4e8000ef",
00000496 => x"e9850513",
00000497 => x"4e0000ef",
00000498 => x"e15ff0ef",
00000499 => x"fc0518e3",
00000500 => x"00300513",
529,8 → 529,8
00000518 => x"00200513",
00000519 => x"f60414e3",
00000520 => x"ffff1537",
00000521 => x"eac50513",
00000522 => x"484000ef",
00000521 => x"ea450513",
00000522 => x"47c000ef",
00000523 => x"02c12083",
00000524 => x"02812403",
00000525 => x"800007b7",
559,15 → 559,15
00000548 => x"00050493",
00000549 => x"d8dff0ef",
00000550 => x"00000513",
00000551 => x"4b4000ef",
00000551 => x"4ac000ef",
00000552 => x"00200513",
00000553 => x"4f0000ef",
00000553 => x"4e8000ef",
00000554 => x"00048513",
00000555 => x"d9dff0ef",
00000556 => x"00040513",
00000557 => x"4e0000ef",
00000557 => x"4d8000ef",
00000558 => x"00000513",
00000559 => x"4b4000ef",
00000559 => x"4ac000ef",
00000560 => x"cd9ff0ef",
00000561 => x"00157513",
00000562 => x"fe051ce3",
604,13 → 604,13
00000593 => x"00050413",
00000594 => x"cd9ff0ef",
00000595 => x"00000513",
00000596 => x"400000ef",
00000596 => x"3f8000ef",
00000597 => x"0d800513",
00000598 => x"43c000ef",
00000598 => x"434000ef",
00000599 => x"00040513",
00000600 => x"ce9ff0ef",
00000601 => x"00000513",
00000602 => x"408000ef",
00000602 => x"400000ef",
00000603 => x"c2dff0ef",
00000604 => x"00157513",
00000605 => x"fe051ce3",
630,7 → 630,7
00000619 => x"01512223",
00000620 => x"02041863",
00000621 => x"ffff1537",
00000622 => x"e3050513",
00000622 => x"e2850513",
00000623 => x"01812403",
00000624 => x"01c12083",
00000625 => x"01412483",
639,23 → 639,23
00000628 => x"00812a03",
00000629 => x"00412a83",
00000630 => x"02010113",
00000631 => x"2d00006f",
00000631 => x"2c80006f",
00000632 => x"ffff1537",
00000633 => x"eb050513",
00000634 => x"2c4000ef",
00000633 => x"ea850513",
00000634 => x"2bc000ef",
00000635 => x"00040513",
00000636 => x"a35ff0ef",
00000637 => x"ffff1537",
00000638 => x"ebc50513",
00000639 => x"2b0000ef",
00000638 => x"eb450513",
00000639 => x"2a8000ef",
00000640 => x"00800537",
00000641 => x"a21ff0ef",
00000642 => x"ffff1537",
00000643 => x"ed850513",
00000644 => x"29c000ef",
00000645 => x"288000ef",
00000643 => x"ed050513",
00000644 => x"294000ef",
00000645 => x"280000ef",
00000646 => x"00050493",
00000647 => x"270000ef",
00000647 => x"268000ef",
00000648 => x"07900793",
00000649 => x"0af49e63",
00000650 => x"bb5ff0ef",
663,9 → 663,9
00000652 => x"00300513",
00000653 => x"9b5ff0ef",
00000654 => x"ffff1537",
00000655 => x"ee450513",
00000655 => x"edc50513",
00000656 => x"01045493",
00000657 => x"268000ef",
00000657 => x"260000ef",
00000658 => x"00148493",
00000659 => x"00800937",
00000660 => x"fff00993",
693,7 → 693,7
00000682 => x"412005b3",
00000683 => x"e35ff0ef",
00000684 => x"ffff1537",
00000685 => x"eac50513",
00000685 => x"ea450513",
00000686 => x"f05ff06f",
00000687 => x"00090513",
00000688 => x"e79ff0ef",
714,315 → 714,313
00000703 => x"02010113",
00000704 => x"00008067",
00000705 => x"fe010113",
00000706 => x"00112e23",
00000707 => x"00812c23",
00000708 => x"00912a23",
00000709 => x"01212823",
00000710 => x"01312623",
00000711 => x"01412423",
00000712 => x"01512223",
00000713 => x"f1302973",
00000714 => x"00000a93",
00000715 => x"00900993",
00000716 => x"00300a13",
00000717 => x"00400493",
00000718 => x"41500433",
00000719 => x"00341413",
00000720 => x"01840413",
00000721 => x"00895433",
00000722 => x"0ff47413",
00000723 => x"00000513",
00000724 => x"0489ec63",
00000725 => x"00050863",
00000726 => x"03050513",
00000727 => x"0ff57513",
00000728 => x"12c000ef",
00000729 => x"03040513",
00000730 => x"0ff57513",
00000731 => x"120000ef",
00000732 => x"014a8663",
00000733 => x"02e00513",
00000734 => x"114000ef",
00000735 => x"001a8a93",
00000736 => x"fa9a9ce3",
00000737 => x"01c12083",
00000738 => x"01812403",
00000739 => x"01412483",
00000740 => x"01012903",
00000741 => x"00c12983",
00000742 => x"00812a03",
00000743 => x"00412a83",
00000744 => x"02010113",
00000745 => x"00008067",
00000746 => x"ff640413",
00000747 => x"00150513",
00000748 => x"0ff47413",
00000749 => x"0ff57513",
00000750 => x"f99ff06f",
00000751 => x"ff010113",
00000752 => x"f9402783",
00000753 => x"f9002703",
00000754 => x"f9402683",
00000755 => x"fed79ae3",
00000756 => x"00e12023",
00000757 => x"00f12223",
00000758 => x"00012503",
00000759 => x"00412583",
00000760 => x"01010113",
00000761 => x"00008067",
00000762 => x"f9800693",
00000763 => x"fff00613",
00000764 => x"00c6a023",
00000765 => x"00a6a023",
00000766 => x"00b6a223",
00000767 => x"00008067",
00000768 => x"fa002023",
00000769 => x"fe002683",
00000770 => x"00151513",
00000771 => x"00000713",
00000772 => x"04a6f263",
00000773 => x"000016b7",
00000774 => x"00000793",
00000775 => x"ffe68693",
00000776 => x"04e6e463",
00000777 => x"00167613",
00000778 => x"0015f593",
00000779 => x"01879793",
00000780 => x"01e61613",
00000781 => x"00c7e7b3",
00000782 => x"01d59593",
00000783 => x"00b7e7b3",
00000706 => x"00912a23",
00000707 => x"01212823",
00000708 => x"01312623",
00000709 => x"01412423",
00000710 => x"00112e23",
00000711 => x"00812c23",
00000712 => x"00000493",
00000713 => x"00900993",
00000714 => x"00300a13",
00000715 => x"00400913",
00000716 => x"f1302473",
00000717 => x"40900533",
00000718 => x"00351513",
00000719 => x"01850513",
00000720 => x"00a45433",
00000721 => x"0ff47413",
00000722 => x"00000513",
00000723 => x"0489ea63",
00000724 => x"00050863",
00000725 => x"03050513",
00000726 => x"0ff57513",
00000727 => x"128000ef",
00000728 => x"03040513",
00000729 => x"0ff57513",
00000730 => x"11c000ef",
00000731 => x"01448663",
00000732 => x"02e00513",
00000733 => x"110000ef",
00000734 => x"00148493",
00000735 => x"fb249ae3",
00000736 => x"01c12083",
00000737 => x"01812403",
00000738 => x"01412483",
00000739 => x"01012903",
00000740 => x"00c12983",
00000741 => x"00812a03",
00000742 => x"02010113",
00000743 => x"00008067",
00000744 => x"ff640413",
00000745 => x"00150513",
00000746 => x"0ff47413",
00000747 => x"0ff57513",
00000748 => x"f9dff06f",
00000749 => x"ff010113",
00000750 => x"f9402783",
00000751 => x"f9002703",
00000752 => x"f9402683",
00000753 => x"fed79ae3",
00000754 => x"00e12023",
00000755 => x"00f12223",
00000756 => x"00012503",
00000757 => x"00412583",
00000758 => x"01010113",
00000759 => x"00008067",
00000760 => x"f9800693",
00000761 => x"fff00613",
00000762 => x"00c6a023",
00000763 => x"00a6a023",
00000764 => x"00b6a223",
00000765 => x"00008067",
00000766 => x"fa002023",
00000767 => x"fe002683",
00000768 => x"00151513",
00000769 => x"00000713",
00000770 => x"04a6f263",
00000771 => x"000016b7",
00000772 => x"00000793",
00000773 => x"ffe68693",
00000774 => x"04e6e463",
00000775 => x"00167613",
00000776 => x"0015f593",
00000777 => x"01879793",
00000778 => x"01e61613",
00000779 => x"00c7e7b3",
00000780 => x"01d59593",
00000781 => x"00b7e7b3",
00000782 => x"00e7e7b3",
00000783 => x"10000737",
00000784 => x"00e7e7b3",
00000785 => x"10000737",
00000786 => x"00e7e7b3",
00000787 => x"faf02023",
00000788 => x"00008067",
00000789 => x"00170793",
00000790 => x"01079713",
00000791 => x"40a686b3",
00000792 => x"01075713",
00000793 => x"fadff06f",
00000794 => x"ffe78513",
00000795 => x"0fd57513",
00000796 => x"00051a63",
00000797 => x"00375713",
00000798 => x"00178793",
00000799 => x"0ff7f793",
00000800 => x"fa1ff06f",
00000801 => x"00175713",
00000802 => x"ff1ff06f",
00000803 => x"fa002783",
00000804 => x"fe07cee3",
00000805 => x"faa02223",
00000806 => x"00008067",
00000807 => x"fa402503",
00000808 => x"fe055ee3",
00000809 => x"0ff57513",
00000810 => x"00008067",
00000811 => x"ff010113",
00000812 => x"00812423",
00000813 => x"01212023",
00000814 => x"00112623",
00000815 => x"00912223",
00000816 => x"00050413",
00000817 => x"00a00913",
00000818 => x"00044483",
00000819 => x"00140413",
00000820 => x"00049e63",
00000821 => x"00c12083",
00000822 => x"00812403",
00000823 => x"00412483",
00000824 => x"00012903",
00000825 => x"01010113",
00000826 => x"00008067",
00000827 => x"01249663",
00000828 => x"00d00513",
00000829 => x"f99ff0ef",
00000830 => x"00048513",
00000831 => x"f91ff0ef",
00000832 => x"fc9ff06f",
00000833 => x"00757513",
00000834 => x"00177793",
00000835 => x"01079793",
00000836 => x"0036f693",
00000837 => x"00a51513",
00000838 => x"00f56533",
00000839 => x"00167613",
00000840 => x"00e69793",
00000841 => x"0015f593",
00000842 => x"00f567b3",
00000843 => x"00d61613",
00000844 => x"00c7e7b3",
00000845 => x"00959593",
00000846 => x"fa800813",
00000847 => x"00b7e7b3",
00000848 => x"00082023",
00000849 => x"1007e793",
00000850 => x"00f82023",
00000851 => x"00008067",
00000852 => x"fa800713",
00000853 => x"00072683",
00000854 => x"00757793",
00000855 => x"00100513",
00000856 => x"00f51533",
00000857 => x"00d56533",
00000858 => x"00a72023",
00000859 => x"00008067",
00000860 => x"fa800713",
00000861 => x"00072683",
00000862 => x"00757513",
00000863 => x"00100793",
00000864 => x"00a797b3",
00000865 => x"fff7c793",
00000866 => x"00d7f7b3",
00000867 => x"00f72023",
00000868 => x"00008067",
00000869 => x"faa02623",
00000870 => x"fa802783",
00000871 => x"fe07cee3",
00000872 => x"fac02503",
00000873 => x"00008067",
00000874 => x"f8400713",
00000875 => x"00072683",
00000876 => x"00100793",
00000877 => x"00a797b3",
00000878 => x"00d7c7b3",
00000879 => x"00f72023",
00000785 => x"faf02023",
00000786 => x"00008067",
00000787 => x"00170793",
00000788 => x"01079713",
00000789 => x"40a686b3",
00000790 => x"01075713",
00000791 => x"fadff06f",
00000792 => x"ffe78513",
00000793 => x"0fd57513",
00000794 => x"00051a63",
00000795 => x"00375713",
00000796 => x"00178793",
00000797 => x"0ff7f793",
00000798 => x"fa1ff06f",
00000799 => x"00175713",
00000800 => x"ff1ff06f",
00000801 => x"fa002783",
00000802 => x"fe07cee3",
00000803 => x"faa02223",
00000804 => x"00008067",
00000805 => x"fa402503",
00000806 => x"fe055ee3",
00000807 => x"0ff57513",
00000808 => x"00008067",
00000809 => x"ff010113",
00000810 => x"00812423",
00000811 => x"01212023",
00000812 => x"00112623",
00000813 => x"00912223",
00000814 => x"00050413",
00000815 => x"00a00913",
00000816 => x"00044483",
00000817 => x"00140413",
00000818 => x"00049e63",
00000819 => x"00c12083",
00000820 => x"00812403",
00000821 => x"00412483",
00000822 => x"00012903",
00000823 => x"01010113",
00000824 => x"00008067",
00000825 => x"01249663",
00000826 => x"00d00513",
00000827 => x"f99ff0ef",
00000828 => x"00048513",
00000829 => x"f91ff0ef",
00000830 => x"fc9ff06f",
00000831 => x"00757513",
00000832 => x"00177793",
00000833 => x"01079793",
00000834 => x"0036f693",
00000835 => x"00a51513",
00000836 => x"00f56533",
00000837 => x"00167613",
00000838 => x"00e69793",
00000839 => x"0015f593",
00000840 => x"00f567b3",
00000841 => x"00d61613",
00000842 => x"00c7e7b3",
00000843 => x"00959593",
00000844 => x"fa800813",
00000845 => x"00b7e7b3",
00000846 => x"00082023",
00000847 => x"1007e793",
00000848 => x"00f82023",
00000849 => x"00008067",
00000850 => x"fa800713",
00000851 => x"00072683",
00000852 => x"00757793",
00000853 => x"00100513",
00000854 => x"00f51533",
00000855 => x"00d56533",
00000856 => x"00a72023",
00000857 => x"00008067",
00000858 => x"fa800713",
00000859 => x"00072683",
00000860 => x"00757513",
00000861 => x"00100793",
00000862 => x"00a797b3",
00000863 => x"fff7c793",
00000864 => x"00d7f7b3",
00000865 => x"00f72023",
00000866 => x"00008067",
00000867 => x"faa02623",
00000868 => x"fa802783",
00000869 => x"fe07cee3",
00000870 => x"fac02503",
00000871 => x"00008067",
00000872 => x"f8400713",
00000873 => x"00072683",
00000874 => x"00100793",
00000875 => x"00a797b3",
00000876 => x"00d7c7b3",
00000877 => x"00f72023",
00000878 => x"00008067",
00000879 => x"f8a02223",
00000880 => x"00008067",
00000881 => x"f8a02223",
00000882 => x"00008067",
00000883 => x"69617641",
00000884 => x"6c62616c",
00000885 => x"4d432065",
00000886 => x"0a3a7344",
00000887 => x"203a6820",
00000888 => x"706c6548",
00000889 => x"3a72200a",
00000890 => x"73655220",
00000891 => x"74726174",
00000892 => x"3a75200a",
00000893 => x"6c705520",
00000894 => x"0a64616f",
00000895 => x"203a7320",
00000896 => x"726f7453",
00000897 => x"6f742065",
00000898 => x"616c6620",
00000899 => x"200a6873",
00000900 => x"4c203a6c",
00000901 => x"2064616f",
00000902 => x"6d6f7266",
00000903 => x"616c6620",
00000904 => x"200a6873",
00000905 => x"45203a65",
00000906 => x"75636578",
00000907 => x"00006574",
00000908 => x"65206f4e",
00000909 => x"75636578",
00000910 => x"6c626174",
00000911 => x"76612065",
00000912 => x"616c6961",
00000913 => x"2e656c62",
00000914 => x"00000000",
00000915 => x"746f6f42",
00000916 => x"2e676e69",
00000917 => x"0a0a2e2e",
00000918 => x"00000000",
00000919 => x"52450a07",
00000920 => x"5f524f52",
00000921 => x"00000000",
00000922 => x"58450a0a",
00000923 => x"54504543",
00000924 => x"204e4f49",
00000925 => x"00000028",
00000926 => x"20402029",
00000927 => x"00007830",
00000928 => x"69617741",
00000929 => x"676e6974",
00000930 => x"6f656e20",
00000931 => x"32337672",
00000932 => x"6578655f",
00000933 => x"6e69622e",
00000934 => x"202e2e2e",
00000935 => x"00000000",
00000936 => x"64616f4c",
00000937 => x"2e676e69",
00000938 => x"00202e2e",
00000939 => x"00004b4f",
00000940 => x"74697257",
00000941 => x"78302065",
00000942 => x"00000000",
00000943 => x"74796220",
00000944 => x"74207365",
00000945 => x"5053206f",
00000946 => x"6c662049",
00000947 => x"20687361",
00000948 => x"78302040",
00000949 => x"00000000",
00000950 => x"7928203f",
00000951 => x"20296e2f",
00000952 => x"00000000",
00000953 => x"616c460a",
00000954 => x"6e696873",
00000955 => x"2e2e2e67",
00000956 => x"00000020",
00000957 => x"0a0a0a0a",
00000958 => x"4e203c3c",
00000959 => x"56524f45",
00000960 => x"42203233",
00000961 => x"6c746f6f",
00000962 => x"6564616f",
00000963 => x"3e3e2072",
00000964 => x"4c420a0a",
00000965 => x"203a5644",
00000966 => x"2074634f",
00000967 => x"32203120",
00000968 => x"0a303230",
00000969 => x"3a565748",
00000970 => x"00002020",
00000971 => x"4b4c430a",
00000972 => x"0020203a",
00000973 => x"0a7a4820",
00000974 => x"52455355",
00000975 => x"0000203a",
00000976 => x"53494d0a",
00000977 => x"00203a41",
00000978 => x"4f52500a",
00000979 => x"00203a43",
00000980 => x"454d490a",
00000981 => x"00203a4d",
00000982 => x"74796220",
00000983 => x"40207365",
00000984 => x"00000020",
00000985 => x"454d440a",
00000986 => x"00203a4d",
00000987 => x"75410a0a",
00000988 => x"6f626f74",
00000989 => x"6920746f",
00000990 => x"7338206e",
00000991 => x"7250202e",
00000992 => x"20737365",
00000993 => x"2079656b",
00000994 => x"61206f74",
00000995 => x"74726f62",
00000996 => x"00000a2e",
00000997 => x"0000000a",
00000998 => x"726f6241",
00000999 => x"2e646574",
00001000 => x"00000a0a",
00001001 => x"444d430a",
00001002 => x"00203e3a",
00001003 => x"53207962",
00001004 => x"68706574",
00001005 => x"4e206e61",
00001006 => x"69746c6f",
00001007 => x"0000676e",
00001008 => x"61766e49",
00001009 => x"2064696c",
00001010 => x"00444d43",
00001011 => x"33323130",
00001012 => x"37363534",
00001013 => x"42413938",
00001014 => x"46454443",
00000881 => x"69617641",
00000882 => x"6c62616c",
00000883 => x"4d432065",
00000884 => x"0a3a7344",
00000885 => x"203a6820",
00000886 => x"706c6548",
00000887 => x"3a72200a",
00000888 => x"73655220",
00000889 => x"74726174",
00000890 => x"3a75200a",
00000891 => x"6c705520",
00000892 => x"0a64616f",
00000893 => x"203a7320",
00000894 => x"726f7453",
00000895 => x"6f742065",
00000896 => x"616c6620",
00000897 => x"200a6873",
00000898 => x"4c203a6c",
00000899 => x"2064616f",
00000900 => x"6d6f7266",
00000901 => x"616c6620",
00000902 => x"200a6873",
00000903 => x"45203a65",
00000904 => x"75636578",
00000905 => x"00006574",
00000906 => x"65206f4e",
00000907 => x"75636578",
00000908 => x"6c626174",
00000909 => x"76612065",
00000910 => x"616c6961",
00000911 => x"2e656c62",
00000912 => x"00000000",
00000913 => x"746f6f42",
00000914 => x"2e676e69",
00000915 => x"0a0a2e2e",
00000916 => x"00000000",
00000917 => x"52450a07",
00000918 => x"5f524f52",
00000919 => x"00000000",
00000920 => x"58450a0a",
00000921 => x"54504543",
00000922 => x"204e4f49",
00000923 => x"00000028",
00000924 => x"20402029",
00000925 => x"00007830",
00000926 => x"69617741",
00000927 => x"676e6974",
00000928 => x"6f656e20",
00000929 => x"32337672",
00000930 => x"6578655f",
00000931 => x"6e69622e",
00000932 => x"202e2e2e",
00000933 => x"00000000",
00000934 => x"64616f4c",
00000935 => x"2e676e69",
00000936 => x"00202e2e",
00000937 => x"00004b4f",
00000938 => x"74697257",
00000939 => x"78302065",
00000940 => x"00000000",
00000941 => x"74796220",
00000942 => x"74207365",
00000943 => x"5053206f",
00000944 => x"6c662049",
00000945 => x"20687361",
00000946 => x"78302040",
00000947 => x"00000000",
00000948 => x"7928203f",
00000949 => x"20296e2f",
00000950 => x"00000000",
00000951 => x"616c460a",
00000952 => x"6e696873",
00000953 => x"2e2e2e67",
00000954 => x"00000020",
00000955 => x"0a0a0a0a",
00000956 => x"4e203c3c",
00000957 => x"56524f45",
00000958 => x"42203233",
00000959 => x"6c746f6f",
00000960 => x"6564616f",
00000961 => x"3e3e2072",
00000962 => x"4c420a0a",
00000963 => x"203a5644",
00000964 => x"2074634f",
00000965 => x"32203531",
00000966 => x"0a303230",
00000967 => x"3a565748",
00000968 => x"00002020",
00000969 => x"4b4c430a",
00000970 => x"0020203a",
00000971 => x"0a7a4820",
00000972 => x"52455355",
00000973 => x"0000203a",
00000974 => x"53494d0a",
00000975 => x"00203a41",
00000976 => x"4f52500a",
00000977 => x"00203a43",
00000978 => x"454d490a",
00000979 => x"00203a4d",
00000980 => x"74796220",
00000981 => x"40207365",
00000982 => x"00000020",
00000983 => x"454d440a",
00000984 => x"00203a4d",
00000985 => x"75410a0a",
00000986 => x"6f626f74",
00000987 => x"6920746f",
00000988 => x"7338206e",
00000989 => x"7250202e",
00000990 => x"20737365",
00000991 => x"2079656b",
00000992 => x"61206f74",
00000993 => x"74726f62",
00000994 => x"00000a2e",
00000995 => x"0000000a",
00000996 => x"726f6241",
00000997 => x"2e646574",
00000998 => x"00000a0a",
00000999 => x"444d430a",
00001000 => x"00203e3a",
00001001 => x"53207962",
00001002 => x"68706574",
00001003 => x"4e206e61",
00001004 => x"69746c6f",
00001005 => x"0000676e",
00001006 => x"61766e49",
00001007 => x"2064696c",
00001008 => x"00444d43",
00001009 => x"33323130",
00001010 => x"37363534",
00001011 => x"42413938",
00001012 => x"46454443",
others => x"00000000"
);
 
/trunk/rtl/core/neorv32_cpu_control.vhd
176,6 → 176,7
state_nxt : execute_engine_state_t;
i_reg : std_ulogic_vector(31 downto 0);
i_reg_nxt : std_ulogic_vector(31 downto 0);
i_reg_last : std_ulogic_vector(31 downto 0); -- last executed instruction
is_ci : std_ulogic; -- current instruction is de-compressed instruction
is_ci_nxt : std_ulogic;
is_jump : std_ulogic; -- current instruction is jump instruction
659,6 → 660,10
execute_engine.is_jump <= execute_engine.is_jump_nxt;
execute_engine.is_cp_op <= execute_engine.is_cp_op_nxt;
--
if (execute_engine.state = EXECUTE) then
execute_engine.i_reg_last <= execute_engine.i_reg;
end if;
--
ctrl <= ctrl_nxt;
end if;
end process execute_engine_fsm_sync;
784,7 → 789,8
execute_engine.pc_nxt <= execute_engine.next_pc;
end if;
--
if (execute_engine.sleep = '1') or (trap_ctrl.env_start = '1') or ((i_buf.rdata(33) or i_buf.rdata(34)) = '1') then
-- any reason to go FAST to trap state? --
if (execute_engine.sleep = '1') or (trap_ctrl.env_start = '1') or (trap_ctrl.exc_fire = '1') or ((i_buf.rdata(33) or i_buf.rdata(34)) = '1') then
execute_engine.state_nxt <= TRAP;
else
execute_engine.state_nxt <= EXECUTE;
846,7 → 852,7
-- multi cycle alu operation? --
if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) or -- SLL shift operation?
(execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) or -- SR shift operation?
((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and (execute_engine.i_reg(instr_funct7_lsb_c) = '1')) then -- MULDIV?
((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and (execute_engine.i_reg(instr_funct7_lsb_c) = '1') and (CPU_EXTENSION_RISCV_M = true)) then -- MULDIV?
execute_engine.state_nxt <= ALU_WAIT;
else -- single cycle ALU operation
ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
1619,7 → 1625,7
(trap_ctrl.cause(4 downto 0) = trap_menv_c(4 downto 0)) then -- environment call
csr.mtval <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- address of faulting instruction
elsif (trap_ctrl.cause(4 downto 0) = trap_iil_c(4 downto 0)) then -- illegal instruction
csr.mtval <= execute_engine.i_reg; -- faulting instruction itself
csr.mtval <= execute_engine.i_reg_last; -- faulting instruction itself
else -- load/store misalignments/access errors
csr.mtval <= mar_i; -- faulting data access address
end if;
1847,6 → 1853,7
when csr_mzext_c => -- R/-: mzext
csr.rdata(0) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicsr); -- RISC-V.Zicsr CPU extension
csr.rdata(1) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zifencei); -- RISC-V.Zifencei CPU extension
csr.rdata(2) <= bool_to_ulogic_f(PMP_USE); -- RISC-V physical memory protection
 
-- undefined/unavailable --
when others =>
/trunk/rtl/core/neorv32_package.vhd
41,7 → 41,7
-- Architecture Constants -----------------------------------------------------------------
-- -------------------------------------------------------------------------------------------
constant data_width_c : natural := 32; -- data width - do not change!
constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01040500"; -- no touchy!
constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01040502"; -- no touchy!
constant pmp_max_r_c : natural := 8; -- max PMP regions - FIXED!
constant archid_c : natural := 19; -- official NEORV32 architecture ID - hands off!
 
/trunk/rtl/core/neorv32_top.vhd
234,11 → 234,14
assert not ((dspace_base_c(index_size_f(MEM_INT_DMEM_SIZE)-1 downto 0) /= dmem_align_check_c) and (MEM_INT_DMEM_USE = true)) report "NEORV32 PROCESSOR CONFIG ERROR! Data memory space base address has to be aligned to DMEM size." severity error;
-- clock --
assert not (CLOCK_FREQUENCY = 0) report "NEORV32 PROCESSOR CONFIG ERROR! Core clock frequency (CLOCK_FREQUENCY) not specified." severity error;
-- memory layout notifier --
-- memory layout warning --
assert not (ispace_base_c /= x"00000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for instruction address space. Make sure this is sync with the software framework." severity warning;
assert not (dspace_base_c /= x"80000000") report "NEORV32 PROCESSOR CONFIG WARNING! Non-default base address for data address space. Make sure this is sync with the software framework." severity warning;
-- memory latency notifier --
-- memory latency notifier (warning) --
assert not (MEM_EXT_USE = true) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing external memory interface with max latency = " & integer'image(bus_timeout_c) & " cycles." severity warning;
-- external memory iterface protocol notifier (warning) --
assert not ((MEM_EXT_USE = true) and (wb_pipe_mode_c = false)) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing external memory interface using STANDARD Wishbone protocol." severity warning;
assert not ((MEM_EXT_USE = true) and (wb_pipe_mode_c = true)) report "NEORV32 PROCESSOR CONFIG NOTE: Implementing external memory interface using PIEPLINED Wishbone protocol." severity warning;
 
 
-- Reset Generator ------------------------------------------------------------------------
/trunk/sim/neorv32_tb.vhd
264,9 → 264,9
i := to_integer(unsigned(uart_rx_sreg(8 downto 1)));
 
if (i < 32) or (i > 32+95) then -- printable char?
report "SIM_UART TX: (" & integer'image(i) & ")"; -- print code
report "NEORV32_TB_UART.TX: (" & integer'image(i) & ")"; -- print code
else
report "SIM_UART TX: " & character'val(i); -- print ASCII
report "NEORV32_TB_UART.TX: " & character'val(i); -- print ASCII
end if;
 
if (i = 10) then -- Linux line break
/trunk/sw/bootloader/bootloader.c
1,17 → 1,18
// #################################################################################################
// # << NEORV32 - Bootloader >> #
// # ********************************************************************************************* #
// # THE BOOTLOADER SHOULD BE COMPILED USING ONLY THE BASE ISA (rv32i or rv32e)! #
// # In order to run the bootloader on any CPU configuration, the bootloader should be compiled #
// # unsing the base ISA (rv32i/rv32e) only. #
// # ********************************************************************************************* #
// # Boot from (internal) instruction memory, UART or SPI Flash. #
// # #
// # UART configuration: 8N1 at 19200 baud #
// # UART configuration: 8 data bits, no parity bit, 1 stop bit, 19200 baud #
// # Boot Flash: 8-bit SPI, 24-bit addresses (like Micron N25Q032A) @ neorv32.spi_csn_o(0) #
// # neorv32.gpio_o(0) is used as high-active status LED (can be disabled via STATUS_LED_EN). #
// # neorv32.gpio_o(0) is used as high-active status LED (can be disabled via #STATUS_LED_EN). #
// # #
// # Auto boot sequence (can be disabled via AUTOBOOT_EN) after timeout (via AUTOBOOT_TIMEOUT): #
// # Auto boot sequence (can be disabled via #AUTOBOOT_EN) after timeout (via #AUTOBOOT_TIMEOUT): #
// # -> Try booting from SPI flash at spi_csn_o(0). #
// # -> Permanently light up status led and freeze if SPI flash booting attempt fails. #
// # -> Permanently light up status led and stall CPU if SPI flash booting attempt fails. #
// # ********************************************************************************************* #
// # BSD 3-Clause License #
// # #
48,7 → 49,7
/**********************************************************************//**
* @file bootloader.c
* @author Stephan Nolting
* @brief Default NEORV32 bootloader. Compile only for rv32i or rv32e (better).
* @brief Default NEORV32 bootloader.
**************************************************************************/
 
// Libraries
62,21 → 63,21
/**@{*/
/** UART BAUD rate */
#define BAUD_RATE (19200)
/** Enable auto-boot sequence if != 0 */
#define AUTOBOOT_EN (1)
/** Time until the auto-boot sequence starts (in seconds) */
#define AUTOBOOT_TIMEOUT 8
/** Enable auto-boot sequence if != 0 */
#define AUTOBOOT_EN (1)
/** Set to 0 to disable bootloader status LED */
#define STATUS_LED_EN (1)
/** Bootloader status LED at GPIO output port */
#define STATUS_LED (0)
/** SPI flash boot image base address */
/** SPI flash boot image base address (warning! address might wrap-around!) */
#define SPI_FLASH_BOOT_ADR (0x00800000)
/** SPI flash chip select at spi_csn_o */
/** SPI flash chip select line at spi_csn_o */
#define SPI_FLASH_CS (0)
/** Default SPI flash clock prescaler for serial peripheral interface */
/** Default SPI flash clock prescaler */
#define SPI_FLASH_CLK_PRSC (CLK_PRSC_8)
/** SPI flash sector size in bytes */
/** SPI flash sector size in bytes (default = 64kb) */
#define SPI_FLASH_SECTOR_SIZE (64*1024)
/**@}*/
 
/trunk/sw/example/cpu_test/main.c
485,20 → 485,22
// ----------------------------------------------------------
exception_handler_answer = 0xFFFFFFFF;
neorv32_uart_printf("EXC I_ILLEG: ");
 
cnt_test++;
 
// create test program in RAM
static const uint32_t dummy_sub_program[2] __attribute__((aligned(8))) = {
0xDEAD007F, // undefined 32-bit instruction (invalid opcode) -> illegal instruction exception
0x00008067 // ret (32-bit)
};
asm volatile ("csrrw zero, 0xfff, zero"); // = 0xfff01073 : CSR 0xfff not implemented -> illegal instruction
 
tmp_a = (uint32_t)&dummy_sub_program; // call the dummy sub program
asm volatile ("jalr ra, %[input_i]" : : [input_i] "r" (tmp_a));
 
#if (DETAILED_EXCEPTION_DEBUG==0)
// make sure this has cause an illegal exception
if (exception_handler_answer == TRAP_CODE_I_ILLEGAL) {
test_ok();
// make sure this is really the instruction that caused the exception
// for illegal instructions mtval contains the actual instruction word
if (neorv32_cpu_csr_read(CSR_MTVAL) == 0xfff01073) {
test_ok();
}
else {
test_fail();
}
}
else {
test_fail();
/trunk/sw/example/demo_freeRTOS/makefile
66,6 → 66,38
 
 
# -----------------------------------------------------------------------------
# FreeRTOS
# -----------------------------------------------------------------------------
ifneq (,$(findstring RUN_FREERTOS_DEMO,$(USER_FLAGS)))
# FreeRTOS home folder (adapt this!)
FREERTOS_HOME ?= /mnt/n/Projects/FreeRTOS/FreeRTOS
 
# Application
APP_SRC += blinky_demo/main_blinky.c
 
# FreeRTOS core
APP_SRC += $(wildcard $(FREERTOS_HOME)/Source/*.c)
APP_SRC += $(wildcard $(FREERTOS_HOME)/Source/portable/MemMang/heap_1.c)
 
APP_INC += -I $(FREERTOS_HOME)/Source/include
 
# FreeRTOS RISC-V specific
APP_SRC += $(wildcard $(FREERTOS_HOME)/Source/portable/GCC/RISC-V/*.c)
APP_SRC += $(FREERTOS_HOME)/Source/portable/GCC/RISC-V/portASM.S
 
APP_INC += -I $(FREERTOS_HOME)/Source/portable/GCC/RISC-V
 
# NEORV32 specific
ASM_INC += -DportasmHANDLE_INTERRUPT=SystemIrqHandler
 
APP_INC += -I chip_specific_extensions/neorv32
 
ASM_INC += -I chip_specific_extensions/neorv32
endif
 
 
 
# -----------------------------------------------------------------------------
# NEORV32 framework
# -----------------------------------------------------------------------------
# Path to NEORV32 linker script and startup file
/trunk/sw/lib/include/neorv32.h
155,6 → 155,16
 
 
/**********************************************************************//**
* CPU <b>mzext</b> custom CSR (r/-): Implemented Z* CPU extensions
**************************************************************************/
enum NEORV32_CPU_MZEXT_enum {
CPU_MZEXT_ZICSR = 0, /**< CPU mzext CSR (0): Zicsr extension available when set (r/-) */
CPU_MZEXT_ZIFENCEI = 1, /**< CPU mzext CSR (1): Zifencei extension available when set (r/-) */
CPU_MZEXT_PMP = 2 /**< CPU mzext CSR (2): PMP extension available when set (r/-) */
};
 
 
/**********************************************************************//**
* Trap codes from mcause CSR.
**************************************************************************/
enum NEORV32_EXCEPTION_CODES_enum {
/trunk/sw/lib/source/neorv32_rte.c
51,6 → 51,7
static void __attribute__((__interrupt__)) __neorv32_rte_core(void) __attribute__((aligned(16))) __attribute__((unused));
static void __neorv32_rte_debug_exc_handler(void) __attribute__((unused));
static void __neorv32_rte_print_true_false(int state) __attribute__((unused));
static void __neorv32_rte_print_hex_word(uint32_t num);
 
 
/**********************************************************************//**
64,7 → 65,7
 
// check if CSR system is available at all
if (neorv32_cpu_csr_read(CSR_MISA) == 0) {
neorv32_uart_printf("<RTE> WARNING! CPU CSR system not available! </RTE>");
neorv32_uart_print("<RTE> WARNING! CPU CSR system not available! </RTE>");
}
 
// configure trap handler base address
137,11 → 138,14
* This is the core of the NEORV32 RTE.
*
* @note This function must no be explicitly used by the user.
* @note The RTE core uses mscratch CSR to store the trap-causing mepc for further (user-defined) processing.
*
* @warning When using the the RTE, this function is the ONLY function that can use the 'interrupt' attribute!
**************************************************************************/
static void __attribute__((__interrupt__)) __attribute__((aligned(16))) __neorv32_rte_core(void) {
 
register uint32_t rte_mepc = neorv32_cpu_csr_read(CSR_MEPC);
register uint32_t rte_mepc = neorv32_cpu_csr_read(CSR_MEPC);
neorv32_cpu_csr_write(CSR_MSCRATCH, rte_mepc); // store for later
register uint32_t rte_mcause = neorv32_cpu_csr_read(CSR_MCAUSE);
 
// compute return address
198,45 → 202,38
static void __neorv32_rte_debug_exc_handler(void) {
 
// intro
neorv32_uart_printf("<RTE> ");
neorv32_uart_print("<RTE> ");
 
// cause
register uint32_t trap_cause = neorv32_cpu_csr_read(CSR_MCAUSE);
switch (trap_cause) {
case TRAP_CODE_I_MISALIGNED: neorv32_uart_printf("Instruction address misaligned"); break;
case TRAP_CODE_I_ACCESS: neorv32_uart_printf("Instruction access fault"); break;
case TRAP_CODE_I_ILLEGAL: neorv32_uart_printf("Illegal instruction"); break;
case TRAP_CODE_BREAKPOINT: neorv32_uart_printf("Breakpoint"); break;
case TRAP_CODE_L_MISALIGNED: neorv32_uart_printf("Load address misaligned"); break;
case TRAP_CODE_L_ACCESS: neorv32_uart_printf("Load access fault"); break;
case TRAP_CODE_S_MISALIGNED: neorv32_uart_printf("Store address misaligned"); break;
case TRAP_CODE_S_ACCESS: neorv32_uart_printf("Store access fault"); break;
case TRAP_CODE_MENV_CALL: neorv32_uart_printf("Environment call"); break;
case TRAP_CODE_MSI: neorv32_uart_printf("Machine software interrupt"); break;
case TRAP_CODE_MTI: neorv32_uart_printf("Machine timer interrupt"); break;
case TRAP_CODE_MEI: neorv32_uart_printf("Machine external interrupt"); break;
case TRAP_CODE_FIRQ_0: neorv32_uart_printf("Fast interrupt 0"); break;
case TRAP_CODE_FIRQ_1: neorv32_uart_printf("Fast interrupt 1"); break;
case TRAP_CODE_FIRQ_2: neorv32_uart_printf("Fast interrupt 2"); break;
case TRAP_CODE_FIRQ_3: neorv32_uart_printf("Fast interrupt 3"); break;
default: neorv32_uart_printf("Unknown (0x%x)", trap_cause); break;
case TRAP_CODE_I_MISALIGNED: neorv32_uart_print("Instruction address misaligned"); break;
case TRAP_CODE_I_ACCESS: neorv32_uart_print("Instruction access fault"); break;
case TRAP_CODE_I_ILLEGAL: neorv32_uart_print("Illegal instruction"); break;
case TRAP_CODE_BREAKPOINT: neorv32_uart_print("Breakpoint"); break;
case TRAP_CODE_L_MISALIGNED: neorv32_uart_print("Load address misaligned"); break;
case TRAP_CODE_L_ACCESS: neorv32_uart_print("Load access fault"); break;
case TRAP_CODE_S_MISALIGNED: neorv32_uart_print("Store address misaligned"); break;
case TRAP_CODE_S_ACCESS: neorv32_uart_print("Store access fault"); break;
case TRAP_CODE_MENV_CALL: neorv32_uart_print("Environment call"); break;
case TRAP_CODE_MSI: neorv32_uart_print("Machine software interrupt"); break;
case TRAP_CODE_MTI: neorv32_uart_print("Machine timer interrupt"); break;
case TRAP_CODE_MEI: neorv32_uart_print("Machine external interrupt"); break;
case TRAP_CODE_FIRQ_0: neorv32_uart_print("Fast interrupt 0"); break;
case TRAP_CODE_FIRQ_1: neorv32_uart_print("Fast interrupt 1"); break;
case TRAP_CODE_FIRQ_2: neorv32_uart_print("Fast interrupt 2"); break;
case TRAP_CODE_FIRQ_3: neorv32_uart_print("Fast interrupt 3"); break;
default: neorv32_uart_print("Unknown trap cause: "); __neorv32_rte_print_hex_word(trap_cause); break;
}
 
// address
register uint32_t trap_addr = neorv32_cpu_csr_read(CSR_MEPC);
register uint32_t trap_inst;
asm volatile ("lh %[result], 0(%[input_i])" : [result] "=r" (trap_inst) : [input_i] "r" (trap_addr));
// instruction address
neorv32_uart_print(" @ ");
__neorv32_rte_print_hex_word(neorv32_cpu_csr_read(CSR_MSCRATCH)); // rte core stores actual mepc to mscratch
 
// modify return address only if exception (NOT for interrupts)
if ((trap_cause & 0x80000000) == 0) { // is exception?
if ((trap_inst & 3) == 3) { // is uncompressed instruction?
trap_addr -= 4;
}
else {
trap_addr -= 2;
}
}
neorv32_uart_printf(" @ 0x%x, MTVAL=0x%x </RTE>", trap_addr, neorv32_cpu_csr_read(CSR_MTVAL));
// additional info
neorv32_uart_print(", MTVAL=");
__neorv32_rte_print_hex_word(neorv32_cpu_csr_read(CSR_MTVAL));
neorv32_uart_print(" </RTE>");
}
 
 
300,12 → 297,15
// Z* CPU extensions (from custom CSR "mzext")
tmp = neorv32_cpu_csr_read(CSR_MZEXT);
if (tmp & (1<<0)) {
if (tmp & (1<<CPU_MZEXT_ZICSR)) {
neorv32_uart_printf("Zicsr ");
}
if (tmp & (1<<1)) {
if (tmp & (1<<CPU_MZEXT_ZIFENCEI)) {
neorv32_uart_printf("Zifencei ");
}
if (tmp & (1<<CPU_MZEXT_PMP)) {
neorv32_uart_printf("PMP ");
}
 
 
// Misc
378,15 → 378,36
static void __neorv32_rte_print_true_false(int state) {
 
if (state) {
neorv32_uart_printf("True\n");
neorv32_uart_print("True\n");
}
else {
neorv32_uart_printf("False\n");
neorv32_uart_print("False\n");
}
}
 
 
/**********************************************************************//**
* NEORV32 runtime environment: Private function to print 32-bit number
* as 8-digit hexadecimal value (with "0x" suffix).
*
* @param[in] num Number to print as hexadecimal.
**************************************************************************/
void __neorv32_rte_print_hex_word(uint32_t num) {
 
static const char hex_symbols[16] = "0123456789ABCDEF";
 
neorv32_uart_print("0x");
 
int i;
for (i=0; i<8; i++) {
uint32_t index = (num >> (28 - 4*i)) & 0xF;
neorv32_uart_putc(hex_symbols[index]);
}
}
 
 
 
/**********************************************************************//**
* NEORV32 runtime environment: Function to show the processor version in human-readable format.
**************************************************************************/
void neorv32_rte_print_hw_version(void) {
393,11 → 414,10
 
uint32_t i;
char tmp, cnt;
uint32_t version = neorv32_cpu_csr_read(CSR_MIMPID);
 
for (i=0; i<4; i++) {
 
tmp = (char)(version >> (24 - 8*i));
tmp = (char)(neorv32_cpu_csr_read(CSR_MIMPID) >> (24 - 8*i));
 
// serial division
cnt = 0;
/trunk/CHANGELOG.md
14,6 → 14,8
 
| Date (*dd.mm.yyyy*) | Version | Comment |
|:----------:|:-------:|:--------|
| 16.10.2020 | 1.4.5.2 | Added read-only flag to custom `mzext` CSR to check if physical memory protection (PMP) is implemented; added [C] `mzext` CSR name aliases to neorv32.h |
| 15.10.2020 | 1.4.5.1 | Fixed "unprecise exceptions": `mtval` did not always reflect the correct value according to the instruction that caused the exceptions; fixed bug in RTE: Debug trap handler was not showing the correct `mepc` value |
| 13.10.2020 | [**:rocket:1.4.5.0**](https://github.com/stnolting/neorv32/releases/tag/v1.4.5.0) | An official *open-soucre RISC-V architecture ID* was assigned to the project: decimal = `19`, 32-bit hexadecimal = `0x00000013` - software can retrieve the ID from the `marchid` CSR |
| 12.10.2020 | 1.4.4.9 | Added *alignment flags* to makefiles: branch targets are forced to be 32-bit aligned -> increases performance when using the `C` extension; added makefile flag listing to NEORV32.pdf; updated performance results for CPUs with `C` extension; `crt0.S` will initialize *all* registers with zero if not using `E` extension and not compiling bootloader |
| 11.10.2020 | 1.4.4.8 | Reworked pipeline frontend: Optimized fetch enginge, added issue engine, faster instruction fetch after taken branches + reduced hardware requirements; updated synthesis and performance results |
/trunk/README.md
162,6 → 162,7
* Little-endian byte order
* All reserved or unimplemented instructions will raise an illegal instruction exception
* Privilege levels: `machine` mode, `user` mode (if enabled via `U` extension)
* Official [RISC-V open-source architecture ID](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md)
 
 
**RV32I base instruction set** (`I` extension):
346,12 → 347,12
 
Results generated for hardware version: `1.4.4.8`
 
| CPU | Required Clock Cycles | Executed Instructions | Average CPI |
|:------------------------|----------------------:|----------------------:|:-----------:|
| `rv32i` | 5 945 938 586 | 1 469 587 406 | **4.05** |
| `rv32im` | 3 110 282 586 | 602 225 760 | **5.16** |
| `rv32imc` | 3 172 969 968 | 615 388 924 | **5.16** |
| `rv32imc` `FAST_MUL_EN` | 2 590 417 968 | 615 388 890 | **4.21** |
| CPU | Required Clock Cycles | Executed Instructions | Average CPI |
|:--------------------------|----------------------:|----------------------:|:-----------:|
| `rv32i` | 5 945 938 586 | 1 469 587 406 | **4.05** |
| `rv32im` | 3 110 282 586 | 602 225 760 | **5.16** |
| `rv32imc` | 3 172 969 968 | 615 388 924 | **5.16** |
| `rv32imc` + `FAST_MUL_EN` | 2 590 417 968 | 615 388 890 | **4.21** |
 
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic).
 
565,7 → 566,7
can use a simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) (from the project's
[`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) folder) as top entity.
 
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART lines, clock, reset and some GPIO output sginals are
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART lines, clock, reset and some GPIO output signals are
propagated as actual entity signals. Basically, it is a FPGA "hello world" example:
 
```vhdl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.