OpenCores
URL https://opencores.org/ocsvn/neural_net_perceptron/neural_net_perceptron/trunk

Subversion Repositories neural_net_perceptron

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /neural_net_perceptron
    from Rev 8 to Rev 9
    Reverse comparison

Rev 8 → Rev 9

/trunk/neural_net_perceptron/doc/specification_NN_Perceptron_v01_20220720.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
trunk/neural_net_perceptron/doc/specification_NN_Perceptron_v01_20220720.pdf Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: trunk/neural_net_perceptron/tb/vhdl/tb_avm_instruction_gen_v04_public.vhd =================================================================== --- trunk/neural_net_perceptron/tb/vhdl/tb_avm_instruction_gen_v04_public.vhd (revision 8) +++ trunk/neural_net_perceptron/tb/vhdl/tb_avm_instruction_gen_v04_public.vhd (nonexistent) @@ -1,1157 +0,0 @@ --- COPYRIGHT (C) 2022 by Jens Gutschmidt / VIVARE GmbH Switzerland --- (email: opencores@vivare-services.com) --- --- This program is free software: you can redistribute it and/or modify it --- under the terms of the GNU General Public License as published by --- the Free Software Foundation, either version 3 of the License, or any --- later version. --- --- This program is distributed in the hope that it will be useful, but --- WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. --- See the GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with this program. If not, see . --- --- --- ************************************** --- --- File: tb_avm_instruction_gen_v04.vhd --- --- Version: 4.0 --- Date: 20.Jul.2022 --- Author: Jens Gutschmidt / opencores@vivare-services.com --- Cause: Adoptions for public --- --- THIS TEST BENCH IS ONLY FOR INFORMATION. --- IT CONTAINS TESTS FOR SYMANTIC EXPERIMENTS AND OTHER NON-PROJECT --- RELATED STUFF. --- USE IT ON OWN RISC !!! --- ************************************** - -USE std.textio.all; - -LIBRARY work; -USE work.memory_vhd_v03_pkg.ALL; - -LIBRARY IEEE; -USE IEEE.std_logic_1164.all; -USE ieee.std_logic_arith.all; -USE ieee.std_logic_textio.all; - -LIBRARY modelsim_lib; -USE modelsim_lib.transactions.all; - -ENTITY tb_avm_instruction_gen_v04_public IS -END ENTITY tb_avm_instruction_gen_v04_public; - --- -ARCHITECTURE testbench OF tb_avm_instruction_gen_v04_public IS - - -- Component Declarations - COMPONENT p0300_m00000_s_v03_top_level_blk - PORT ( - wb_clk_i : IN std_logic ; - wb_rst_i : IN std_logic ; - wb_adr_i : IN WB_ADDR_WIDTH_T ; - wb_dat_i : IN WB_DATA_WIDTH_T ; - wb_stb_i : IN std_logic ; - wb_cyc_i : IN std_logic ; - wb_we_i : IN std_logic ; - - wb_ack_o : OUT std_logic ; - wb_dat_o : OUT WB_DATA_WIDTH_T - ); - END COMPONENT; - - -- Optional embedded configurations - -- pragma synthesis_off - FOR ALL : p0300_m00000_s_v03_top_level_blk USE ENTITY work.p0300_m00000_s_v03_top_level_blk; - -- pragma synthesis_on - - - signal clk_gen_o : std_ulogic := '0'; - signal rst_proc_o : std_ulogic := '0'; --- signal res_proc_o_i : std_ulogic := '0'; - signal rst_run_proc_o : std_logic; - - -- WN internal signals - signal tb_wb_adri_oi : WB_ADDR_WIDTH_T ; - signal tb_wb_adro_oi : WB_ADDR_WIDTH_T := (others => '0') ; --- signal tb_wb_adro_oi : WB_ADDR_WIDTH_T ; - signal tb_wb_dout_oi : WB_DATA_WIDTH_T ; - signal tb_wb_stb_oi : std_logic := '0' ; - signal tb_wb_cyc_oi : std_logic := '0' ; - signal tb_wb_we_oi : std_logic := '0' ; - - signal tb_wb_ack_oi : std_logic ; - signal tb_wb_din_oi : WB_DATA_WIDTH_T ; - signal tb_wb_clear_epoch_oi : WB_DATA_WIDTH_T ; - signal tb_wb_thres_oi : WB_DATA_WIDTH_T ; - -- //////////////////////////////////////////////////////// - - signal done : boolean := FALSE; - - constant PERIOD : Time := 20 ns; - constant PD : Time := 0 ns; - - constant PLUS_ONE : integer := 1; - constant MINUS_ONE : integer := -1; - -BEGIN - tb_avm_test: process - variable count_pattern : integer; - variable count_loop : integer; - variable count_data : integer; - - variable hStream02 : TrStream := create_transaction_stream("stream02", "transaction"); - variable hStream01 : TrStream := create_transaction_stream("stream01", "transaction2"); - - variable hTrans01 : TrTransaction := 0; - variable hTrans02 : TrTransaction := 0; - variable hTrans03 : TrTransaction := 0; - variable hTrans04 : TrTransaction := 0; - - variable loop_finished : boolean := FALSE; - variable loop_finished_a : boolean := FALSE; - variable wb_adr_oi : WB_ADDR_WIDTH_T ; - - variable mem_matrix_i_len : integer := 6; - variable mem_matrix_j_len : integer := 3; - type tb_s_mem_t is array ( 0 to ( mem_matrix_i_len - 1 ) ) of integer; -- - type tb_t_mem_t is array ( 0 to ( mem_matrix_j_len - 1 ) ) of integer; -- - type tb_w_mem_t is array ( 0 to ( mem_matrix_i_len * mem_matrix_j_len ) - 1 ) of integer; -- matrix 3 x 2 - - variable tb_s_mem : tb_s_mem_t; - variable tb_t_mem : tb_t_mem_t; - variable tb_w_mem : tb_w_mem_t; - - file outfile : text is out "outimgvhdl.txt"; - file outfile_w : text is out "w_memory_up_down.txt"; - file outfile_bias : text is out "bias_memory_up_down.txt"; - file outfile_test : text is out "test.txt"; - file outfile_answer : text is out "answer.txt"; - variable buff_out : line; --line number declaration - variable buff_out_answer : line; --line number declaration - variable component_lv : std_logic_vector ( mem_matrix_i_len - 1 downto 0 ); - - --- ////////////////////////////////////////*\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\ --- /////////////////////////////////// WB READ \\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\ --- ////////////////////////////////////////*\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\ - procedure wb_read_proc_bfm - ( - signal clk_i : IN std_logic ; - signal wb_ack_i : IN std_logic ; - constant wb_adr_i : IN WB_ADDR_WIDTH_T ; - constant wb_dat_o : IN WB_DATA_WIDTH_T ; - - signal wb_adr_o : OUT WB_ADDR_WIDTH_T ; - signal wb_stb_o : OUT std_logic ; - signal wb_cyc_o : OUT std_logic ; - signal wb_we_o : OUT std_logic - ) is - begin - hTrans02 := begin_transaction(hStream02, "WB-READ"); - add_color(hTrans02, "green yellow"); - add_attribute(hTrans02, wb_adr_i, "wb_adr"); - - wb_adr_o <= wb_adr_i after PD; - wb_we_o <= '0' after PD; - wb_stb_o <= '1' after PD; - wb_cyc_o <= '1' after PD; - wait until clk_i'event and clk_i = '1' and wb_ack_i = '1'; --- wb_stb_o <= '0' after PD; --- wb_cyc_o <= '0' after PD; - wb_stb_o <= '0'; - wb_cyc_o <= '0'; --- wait until clk_i'event and clk_i = '1'; - add_attribute(hTrans02, wb_dat_o, "wb_dat_o"); --- wait until clk_i'event and clk_i = '1'; - - end_transaction(hTrans02); - free_transaction(hTrans02); - end wb_read_proc_bfm; --- ********************************************************************************* - --- ////////////////////////////////////////*\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\ --- /////////////////////////////////// WB WRITE \\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\ --- ////////////////////////////////////////*\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\ - procedure wb_write_proc_bfm - ( - signal clk_i : IN std_logic ; - signal wb_ack_i : IN std_logic ; - constant wb_adr_i : IN WB_ADDR_WIDTH_T ; - constant wb_dat_i : IN WB_DATA_WIDTH_T ; - - signal wb_adr_o : OUT WB_ADDR_WIDTH_T ; - signal wb_dat_o : OUT WB_DATA_WIDTH_T ; - signal wb_stb_o : OUT std_logic ; - signal wb_cyc_o : OUT std_logic ; - signal wb_we_o : OUT std_logic - ) is - begin - hTrans02 := begin_transaction(hStream02, "WB-WRITE"); - add_color(hTrans02, "thistle"); - add_attribute(hTrans02, wb_adr_i, "wb_adr"); - add_attribute(hTrans02, wb_dat_i, "wb_dat_i"); - - wb_adr_o <= wb_adr_i after PD; - wb_dat_o <= wb_dat_i after PD; - wb_we_o <= '1' after PD; - wb_stb_o <= '1' after PD; - wb_cyc_o <= '1' after PD; - wait until clk_i'event and clk_i = '1' and wb_ack_i = '1'; --- wb_we_o <= '0' after PD; --- wb_stb_o <= '0' after PD; --- wb_cyc_o <= '0' after PD; - wb_we_o <= '0'; - wb_stb_o <= '0'; - wb_cyc_o <= '0'; --- wait until clk_i'event and clk_i = '1'; --- wait until clk_i'event and clk_i = '1'; - - end_transaction(hTrans02); - free_transaction(hTrans02); - end wb_write_proc_bfm; --- ********************************************************************************* - --- ////////////////////////////////////////*\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\ --- //////////////////////////////// WB READ READY \\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\ --- ////////////////////////////////////////*\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\ - procedure wb_read_ready_proc_bfm - ( - signal clk_i : IN std_logic ; - signal wb_ack_i : IN std_logic ; - constant wb_adr_i : IN WB_ADDR_WIDTH_T ; - constant wb_dat_o : IN WB_DATA_WIDTH_T ; - - signal wb_adr_o : OUT WB_ADDR_WIDTH_T ; - signal wb_stb_o : OUT std_logic ; - signal wb_cyc_o : OUT std_logic ; - signal wb_we_o : OUT std_logic - ) is - begin - - hTrans02 := begin_transaction(hStream02, "WB-READ-READY"); - add_color(hTrans02, "yellow"); - add_attribute(hTrans02, wb_adr_i, "wb_adr"); - - wb_adr_o <= wb_adr_i after PD; - wb_we_o <= '0' after PD; - wb_stb_o <= '1' after PD; - wb_cyc_o <= '1' after PD; - wait until clk_i'event and clk_i = '1' and wb_ack_i = '1'; --- wb_stb_o <= '0' after PD; --- wb_cyc_o <= '0' after PD; - wb_stb_o <= '0'; - wb_cyc_o <= '0'; --- wait until clk_i'event and clk_i = '1'; - add_attribute(hTrans02, wb_dat_o, "wb_dat_o"); --- wait until clk_i'event and clk_i = '1'; - - end_transaction(hTrans02); - free_transaction(hTrans02); - end wb_read_ready_proc_bfm; --- ********************************************************************************* - - begin - count_loop := 0 ; - tb_wb_adri_oi <= (others => '0') ; - tb_wb_din_oi <= (others => '0') ; - tb_wb_thres_oi <= X"00000025" ; - - hTrans01 := begin_transaction(hStream01, "RESET"); - add_color(hTrans01, "blue"); - - wait until clk_gen_o'event and clk_gen_o = '0'; - rst_proc_o <= '1' after PD; - wait until clk_gen_o'event and clk_gen_o = '1'; - wait until clk_gen_o'event and clk_gen_o = '1'; - wait until clk_gen_o'event and clk_gen_o = '1'; -- delay for 3 clks to init. - rst_proc_o <= '0' after PD; - - end_transaction(hTrans01); - free_transaction(hTrans01); - --- ************************************************************************* - - hTrans01 := begin_transaction ( hStream01, "First_WB_Test" ); - add_color ( hTrans01, "green" ); - - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_STAT_A, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - --- READ All Latency Register, tb_wb_adri_oi <= "01110", d14, 0x0E - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_ALLLAT, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - --- WRITE Threshold Register, tb_wb_adri_oi <= "00001", d01, 0x01 - wb_write_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_THRES, WB_ADDR_WIDTH ) ) , - tb_wb_thres_oi , - tb_wb_adro_oi , - tb_wb_din_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - --- READ Threshold Register, tb_wb_adri_oi <= "00001", d01, 0x01 - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_THRES, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - --- WRITE Bias Register - wb_write_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_BIAS, WB_ADDR_WIDTH ) ) , - X"00000001" , - tb_wb_adro_oi , - tb_wb_din_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - --- READ Bias Register - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_BIAS, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - end_transaction(hTrans01); - free_transaction(hTrans01); - - hTrans01 := begin_transaction ( hStream01, "Wait_for_core_is_ready" ); - add_color ( hTrans01, "yellow" ); - --- READ Status Register, tb_wb_adri_oi <= "00000" - wb_read_ready_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_STARTI, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - end_transaction ( hTrans01 ); - free_transaction ( hTrans01 ); - - hTrans01 := begin_transaction ( hStream01, "Start_Stop_values" ); - add_color ( hTrans01, "cyan" ); - --- WRITE STARTI Register, tb_wb_adri_oi <= "00111" - wb_write_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_STARTI, WB_ADDR_WIDTH ) ) , - X"00000000" , - tb_wb_adro_oi , - tb_wb_din_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - --- WRITE STARTJ Register, tb_wb_adri_oi <= "01001" - wb_write_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_STARTJ, WB_ADDR_WIDTH ) ) , - X"00000000" , - tb_wb_adro_oi , - tb_wb_din_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - --- WRITE STOPI Register, tb_wb_adri_oi <= "01000" - wb_write_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_STOPI, WB_ADDR_WIDTH ) ) , - std_logic_vector ( conv_unsigned ( mem_matrix_i_len-1, WB_DATA_WIDTH ) ) , - tb_wb_adro_oi , - tb_wb_din_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - --- WRITE STOPJ Register, tb_wb_adri_oi <= "01010" - wb_write_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_STOPJ, WB_ADDR_WIDTH ) ) , - std_logic_vector ( conv_unsigned ( mem_matrix_j_len-1, WB_DATA_WIDTH ) ) , - tb_wb_adro_oi , - tb_wb_din_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - end_transaction ( hTrans01 ); - free_transaction ( hTrans01 ); - - hTrans01 := begin_transaction ( hStream01, "Wait_for_READY" ); - add_color ( hTrans01, "white" ); - - wb_adr_oi := "00000"; - loop_finished := FALSE; - while ( NOT loop_finished ) loop - - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - wb_adr_oi , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - if ( (tb_wb_dout_oi (STAT_RDY) = '1') ) then - loop_finished := TRUE; - end if; - - end loop; - - end_transaction ( hTrans01 ); - free_transaction ( hTrans01 ); - - - hTrans01 := begin_transaction ( hStream01, "WRITE-W-Memory_until_end" ); - add_color ( hTrans01, "orange" ); - --- READ W-MEM, tb_wb_adri_oi <= "10011" - count_data := 0; - count_loop := 0; - loop_finished_a := FALSE; - - while ( NOT loop_finished_a ) loop - - tb_w_mem (count_loop) := count_data; - --- WRITE W-MEM - wb_write_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_START5_W, WB_ADDR_WIDTH ) ) , - std_logic_vector ( conv_unsigned ( count_data, WB_DATA_WIDTH ) ) , - tb_wb_adro_oi , - tb_wb_din_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_STAT_A, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - count_data := count_data + 1 ; - count_loop := count_loop + 1 ; - if ( (tb_wb_dout_oi (STAT_RD_WR_COMPLETE) = '1') ) then - loop_finished_a := TRUE; - end if; - - end loop; - - end_transaction ( hTrans01 ); - free_transaction ( hTrans01 ); - - hTrans01 := begin_transaction ( hStream01, "READ-W-Memory_until_end" ); - add_color ( hTrans01, "yellow" ); - --- READ W-MEM, tb_wb_adri_oi <= "10011" - count_loop := 0; - loop_finished_a := FALSE; - while ( NOT loop_finished_a ) loop - - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_START5_W, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - if ( NOT (tb_wb_dout_oi = std_logic_vector ( conv_unsigned ( tb_w_mem (count_loop), WB_DATA_WIDTH ) )) ) then - loop_finished_a := TRUE; - hTrans04 := begin_transaction ( hStream02, "READ-W-Memory_MISMATCH" ); - add_color ( hTrans04, "red" ); - add_attribute ( hTrans04, tb_wb_dout_oi, "exp_tb_wb_dout_oi" ); - add_attribute ( hTrans04, std_logic_vector ( conv_unsigned ( tb_w_mem (count_loop), WB_DATA_WIDTH ) ), "rd_tb_wb_dout_oi" ); - - wait for 100ns; - end_transaction ( hTrans04 ); - free_transaction ( hTrans04 ); - - end if; - - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_STAT_A, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - count_loop := count_loop + 1 ; - if ( (tb_wb_dout_oi ( STAT_RD_WR_COMPLETE) = '1' ) ) then - loop_finished_a := TRUE; - end if; - - end loop; - - end_transaction ( hTrans01 ); - free_transaction ( hTrans01 ); - - hTrans01 := begin_transaction ( hStream01, "Start_INIT_Process" ); - add_color ( hTrans01, "medium sea green" ); - - loop_finished_a := FALSE; - --- INIT - wb_write_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_START3, WB_ADDR_WIDTH ) ) , - X"00000000" , - tb_wb_adro_oi , - tb_wb_din_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - while ( NOT loop_finished_a ) loop - - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_STAT_A, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - if ( (tb_wb_dout_oi ( STAT_RDY) = '1' ) ) then - loop_finished_a := TRUE; - end if; - - end loop; - - end_transaction ( hTrans01 ); - free_transaction ( hTrans01 ); - - hTrans01 := begin_transaction ( hStream01, "Enable_Interrupt" ); - add_color ( hTrans01, "Magenta" ); - --- Enable Interrupt, tb_wb_adri_oi <= "00000" - wb_write_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_STAT_A, WB_ADDR_WIDTH ) ) , - X"00000008" , - tb_wb_adro_oi , - tb_wb_din_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - end_transaction ( hTrans01 ); - free_transaction ( hTrans01 ); - - - hTrans01 := begin_transaction ( hStream01, "Training" ); - add_color ( hTrans01, "violett" ); - tb_wb_clear_epoch_oi <= (others => '1') ; - - for count_pattern in 0 to 63 loop - component_lv := std_logic_vector ( conv_signed ( count_pattern, mem_matrix_i_len ) ); - write ( buff_out, string'("-- Pattern Number: ") ); - write ( buff_out, count_pattern ); - write ( buff_out, string'(" ") ); - write ( buff_out, component_lv ); - - tb_s_mem (0) := MINUS_ONE; - tb_s_mem (1) := MINUS_ONE; - tb_s_mem (2) := MINUS_ONE; - tb_s_mem (3) := MINUS_ONE; - tb_s_mem (4) := MINUS_ONE; - tb_s_mem (5) := MINUS_ONE; - - if ( ( component_lv (0) = '1' ) ) then - tb_s_mem (0) := PLUS_ONE; - end if; - if ( ( component_lv (1) = '1' ) ) then - tb_s_mem (1) := PLUS_ONE; - end if; - if ( ( component_lv (2) = '1' ) ) then - tb_s_mem (2) := PLUS_ONE; - end if; - if ( ( component_lv (3) = '1' ) ) then - tb_s_mem (3) := PLUS_ONE; - end if; - if ( ( component_lv (4) = '1' ) ) then - tb_s_mem (4) := PLUS_ONE; - end if; - if ( ( component_lv (5) = '1' ) ) then - tb_s_mem (5) := PLUS_ONE; - end if; - - tb_t_mem (0) := MINUS_ONE; -- UP - tb_t_mem (1) := MINUS_ONE; -- DOWN - tb_t_mem (2) := MINUS_ONE; -- STOP - - if ( (component_lv = "010101" ) ) then - tb_t_mem (0) := PLUS_ONE; -- UP - tb_t_mem (1) := MINUS_ONE; -- DOWN - tb_t_mem (2) := MINUS_ONE; -- STOP - write ( buff_out, string'(" UP") ); - end if; - if ( (component_lv = "101010" ) ) then - tb_t_mem (0) := MINUS_ONE; -- UP - tb_t_mem (1) := PLUS_ONE; -- DOWN - tb_t_mem (2) := MINUS_ONE; -- STOP - write ( buff_out, string'(" DOWN") ); - end if; - if ( (component_lv = "111011" ) ) then - tb_t_mem (0) := MINUS_ONE; -- UP - tb_t_mem (1) := MINUS_ONE; -- DOWN - tb_t_mem (2) := PLUS_ONE; -- STOP - write ( buff_out, string'(" STOP") ); - end if; - - writeline ( outfile, buff_out ); - write ( buff_out, string'("-- Components") ); - writeline ( outfile, buff_out ); - - hTrans04 := begin_transaction ( hStream02, "WRITE-S-Memory" ); - add_color ( hTrans04, "light blue" ); - - count_data := 0; - count_loop := 0; - loop_finished_a := FALSE; - while ( NOT loop_finished_a ) loop - - -- WRITE S-MEM - wb_write_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_START5_S, WB_ADDR_WIDTH ) ) , - std_logic_vector ( conv_signed ( tb_s_mem (count_loop), WB_DATA_WIDTH ) ) , - tb_wb_adro_oi , - tb_wb_din_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - write ( buff_out, std_logic_vector ( conv_signed ( tb_s_mem (count_loop), WB_DATA_WIDTH ) ) ); - writeline ( outfile, buff_out ); - - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_STAT_A, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - count_data := count_data + 1 ; - count_loop := count_loop + 1 ; - if ( (tb_wb_dout_oi (STAT_RD_WR_COMPLETE) = '1') ) then - loop_finished_a := TRUE; - end if; - end loop; - - end_transaction ( hTrans04 ); - free_transaction ( hTrans04 ); - - hTrans04 := begin_transaction ( hStream02, "WRITE-T-Memory" ); - add_color ( hTrans04, "light yellow" ); - write ( buff_out, string'("-- Answer") ); - writeline ( outfile, buff_out ); - - count_data := 0; - count_loop := 0; - loop_finished_a := FALSE; - while ( NOT loop_finished_a ) loop - - -- WRITE T-MEM - wb_write_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_START5_T, WB_ADDR_WIDTH ) ) , - std_logic_vector ( conv_signed ( tb_t_mem (count_loop), WB_DATA_WIDTH ) ) , - tb_wb_adro_oi , - tb_wb_din_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - write ( buff_out, std_logic_vector ( conv_signed ( tb_t_mem (count_loop), WB_DATA_WIDTH ) ) ); - writeline ( outfile, buff_out ); - - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_STAT_A, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - count_data := count_data + 1 ; - count_loop := count_loop + 1 ; - if ( (tb_wb_dout_oi (STAT_RD_WR_COMPLETE) = '1') ) then - loop_finished_a := TRUE; - end if; - end loop; - - end_transaction ( hTrans04 ); - free_transaction ( hTrans04 ); - - hTrans04 := begin_transaction ( hStream02, "Start_Training_Process" ); - add_color ( hTrans04, "light blue" ); - - loop_finished_a := FALSE; - - -- Training - wb_write_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_START6, WB_ADDR_WIDTH ) ) , - tb_wb_clear_epoch_oi , - tb_wb_adro_oi , - tb_wb_din_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - tb_wb_clear_epoch_oi <= (others => '0') ; - - while ( NOT loop_finished_a ) loop - - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_STAT_A, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - count_loop := count_loop + 1 ; - if ( (tb_wb_dout_oi ( STAT_INT_TRAIN) = '1' ) ) then - loop_finished_a := TRUE; - end if; - end loop; - - end_transaction ( hTrans04 ); - free_transaction ( hTrans04 ); - end loop; - - end_transaction ( hTrans01 ); - free_transaction ( hTrans01 ); - - hTrans01 := begin_transaction ( hStream01, "READ-W-Memory_to_file" ); - add_color ( hTrans01, "violet red" ); - write ( buff_out, string'("-- W-Memory content for UP/DOWN/STOP pattern") ); - writeline ( outfile_w, buff_out ); - --- READ W-MEM, tb_wb_adri_oi <= "10011" - count_loop := 0; - loop_finished_a := FALSE; - while ( NOT loop_finished_a ) loop - - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_START5_W, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - write ( buff_out, tb_wb_dout_oi ); - writeline ( outfile_w, buff_out ); - - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_STAT_A, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - count_loop := count_loop + 1 ; - if ( (tb_wb_dout_oi ( STAT_RD_WR_COMPLETE) = '1' ) ) then - loop_finished_a := TRUE; - end if; - end loop; - - end_transaction ( hTrans01 ); - free_transaction ( hTrans01 ); - - hTrans01 := begin_transaction ( hStream01, "READ-BIAS-Memory_to_file" ); - add_color ( hTrans01, "violet red" ); - write ( buff_out, string'("-- BIAS-Memory content for UP/DOWN/STOP pattern") ); - writeline ( outfile_bias, buff_out ); - - count_loop := 0; - loop_finished_a := FALSE; - while ( NOT loop_finished_a ) loop - - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_START5_BIAS, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - write ( buff_out, tb_wb_dout_oi ); - writeline ( outfile_bias, buff_out ); - - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_STAT_A, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - count_loop := count_loop + 1 ; - if ( (tb_wb_dout_oi ( STAT_RD_WR_COMPLETE) = '1' ) ) then - loop_finished_a := TRUE; - end if; - end loop; - - end_transaction ( hTrans01 ); - free_transaction ( hTrans01 ); - - hTrans01 := begin_transaction ( hStream01, "Test" ); - add_color ( hTrans01, "maroon" ); - - for count_pattern in 0 to 63 loop - component_lv := std_logic_vector ( conv_signed ( count_pattern, mem_matrix_i_len ) ); - write ( buff_out, string'("-- Pattern Number: ") ); - write ( buff_out, count_pattern ); - writeline ( outfile_test, buff_out ); - - tb_s_mem (0) := MINUS_ONE; - tb_s_mem (1) := MINUS_ONE; - tb_s_mem (2) := MINUS_ONE; - tb_s_mem (3) := MINUS_ONE; - tb_s_mem (4) := MINUS_ONE; - tb_s_mem (5) := MINUS_ONE; - - if ( ( component_lv (0) = '1' ) ) then - tb_s_mem (0) := PLUS_ONE; - end if; - if ( ( component_lv (1) = '1' ) ) then - tb_s_mem (1) := PLUS_ONE; - end if; - if ( ( component_lv (2) = '1' ) ) then - tb_s_mem (2) := PLUS_ONE; - end if; - if ( ( component_lv (3) = '1' ) ) then - tb_s_mem (3) := PLUS_ONE; - end if; - if ( ( component_lv (4) = '1' ) ) then - tb_s_mem (4) := PLUS_ONE; - end if; - if ( ( component_lv (5) = '1' ) ) then - tb_s_mem (5) := PLUS_ONE; - end if; - - write ( buff_out, string'("-- Components") ); - writeline ( outfile_test, buff_out ); - - hTrans04 := begin_transaction ( hStream02, "WRITE-S-Memory" ); - add_color ( hTrans04, "light blue" ); - - count_data := 0; - count_loop := 0; - loop_finished_a := FALSE; - - while ( NOT loop_finished_a ) loop - - -- WRITE S-MEM - wb_write_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_START5_S, WB_ADDR_WIDTH ) ) , - std_logic_vector ( conv_signed ( tb_s_mem (count_loop), WB_DATA_WIDTH ) ) , - tb_wb_adro_oi , - tb_wb_din_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - write ( buff_out, std_logic_vector ( conv_signed ( tb_s_mem (count_loop), WB_DATA_WIDTH ) ) ); - writeline ( outfile_test, buff_out ); - - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_STAT_A, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - count_data := count_data + 1 ; - count_loop := count_loop + 1 ; - if ( (tb_wb_dout_oi (STAT_RD_WR_COMPLETE) = '1') ) then - loop_finished_a := TRUE; - end if; - end loop; - - end_transaction ( hTrans04 ); - free_transaction ( hTrans04 ); - - - hTrans04 := begin_transaction ( hStream02, "Start_Test_Process" ); - add_color ( hTrans04, "light blue" ); - - loop_finished_a := FALSE; - - -- Training - wb_write_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_START4, WB_ADDR_WIDTH ) ) , - X"00000000" , - tb_wb_adro_oi , - tb_wb_din_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - while ( NOT loop_finished_a ) loop - - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_STAT_A, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - count_loop := count_loop + 1 ; - if ( (tb_wb_dout_oi ( STAT_INT_TEST) = '1' ) ) then - loop_finished_a := TRUE; - end if; - end loop; - - end_transaction ( hTrans04 ); - free_transaction ( hTrans04 ); - - hTrans04 := begin_transaction ( hStream02, "READ-T-Memory" ); - add_color ( hTrans04, "sate blue" ); - write ( buff_out, string'("-- Answer") ); - writeline ( outfile_test, buff_out ); - - count_data := 0; - count_loop := 0; - loop_finished_a := FALSE; - write ( buff_out_answer, count_pattern ); - - while ( NOT loop_finished_a ) loop - - -- READ T-MEM - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_START5_T, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - write ( buff_out, tb_wb_dout_oi ); - write ( buff_out, string'(" ") ); - - write ( buff_out_answer, string'(",") ); - if ( signed ( tb_wb_dout_oi ) < 0 ) then - hwrite ( buff_out_answer, std_logic_vector ( conv_unsigned ( (unsigned ( not std_logic_vector (tb_wb_dout_oi) ) + 1), WB_DATA_WIDTH )) ); - write ( buff_out_answer, string'(",-1") ); - else - hwrite ( buff_out_answer, ( ( tb_wb_dout_oi ) ) ); - write ( buff_out_answer, string'(",1") ); - end if; - - wb_read_proc_bfm - ( - clk_gen_o , - tb_wb_ack_oi , - std_logic_vector ( conv_unsigned ( WB_STAT_A, WB_ADDR_WIDTH ) ) , - tb_wb_dout_oi , - tb_wb_adro_oi , - tb_wb_stb_oi , - tb_wb_cyc_oi , - tb_wb_we_oi - ); - - count_loop := count_loop + 1 ; - if ( (tb_wb_dout_oi ( STAT_RD_WR_COMPLETE ) = '1' ) ) then - loop_finished_a := TRUE; - end if; - end loop; - writeline ( outfile_test, buff_out ); - writeline ( outfile_answer, buff_out_answer ); - - end_transaction ( hTrans04 ); - free_transaction ( hTrans04 ); - end loop; - end_transaction ( hTrans01 ); - free_transaction ( hTrans01 ); - - - done <= TRUE; - end process tb_avm_test; - - clk_gen : process - begin - while (not done) loop - clk_gen_o <= '0','1' after PERIOD/2; - wait for PERIOD; - end loop; - wait; - end process clk_gen; - --- Instance port mappings. -U_0 : p0300_m00000_s_v03_top_level_blk - PORT MAP ( - wb_clk_i => clk_gen_o , - wb_rst_i => rst_proc_o , - wb_adr_i => tb_wb_adro_oi , - wb_dat_i => tb_wb_din_oi , - wb_stb_i => tb_wb_stb_oi , - wb_cyc_i => tb_wb_cyc_oi , - wb_we_i => tb_wb_we_oi , - - wb_ack_o => tb_wb_ack_oi , - wb_dat_o => tb_wb_dout_oi - ); - -END ARCHITECTURE testbench; - Index: trunk/neural_net_perceptron/tb/vhdl/README.txt =================================================================== --- trunk/neural_net_perceptron/tb/vhdl/README.txt (revision 8) +++ trunk/neural_net_perceptron/tb/vhdl/README.txt (nonexistent) @@ -1,16 +0,0 @@ -This test bench "tb_avm_instruction_gen_v04_public.vhd" is only for your information. -First, its doing some tests (e.g. from early stage of development) and implement a -transaction environment to document each stage of testing while simulation. - -Its do a setup, training and testing of the sample application (look at -the core's specification /doc/specification_NN_Perceptron_v01_20220720 -"Appendix B - Sample Application" for detailed information) too. - -Files are written to the file system while simulation too (work folder) as described -in Appendix B. - -Take a closer look at these files and find out more by yourself. -More information about scoreboards, simulation, verification and requirement -tracing of this core are NOT public. - -opencores@vivare-services.com Index: trunk/neural_net_perceptron/01_copyright_GNU_V3.txt =================================================================== --- trunk/neural_net_perceptron/01_copyright_GNU_V3.txt (revision 8) +++ trunk/neural_net_perceptron/01_copyright_GNU_V3.txt (nonexistent) @@ -1,223 +0,0 @@ -GNU General Public License version 3 (GPLv3) -Submitted by nelson on Tue, 2007-10-23 03:13. :: -GNU GENERAL PUBLIC LICENSE -Version 3, 29 June 2007 - -Copyright (C) 2007 Free Software Foundation, Inc. - -Everyone is permitted to copy and distribute verbatim copies of this license document, but changing it is not allowed. - -Preamble -The GNU General Public License is a free, copyleft license for software and other kinds of works. - -The licenses for most software and other practical works are designed to take away your freedom to share and change the works. By contrast, the GNU General Public License is intended to guarantee your freedom to share and change all versions of a program--to make sure it remains free software for all its users. We, the Free Software Foundation, use the GNU General Public License for most of our software; it applies also to any other work released this way by its authors. You can apply it to your programs, too. - -When we speak of free software, we are referring to freedom, not price. Our General Public Licenses are designed to make sure that you have the freedom to distribute copies of free software (and charge for them if you wish), that you receive source code or can get it if you want it, that you can change the software or use pieces of it in new free programs, and that you know you can do these things. - -To protect your rights, we need to prevent others from denying you these rights or asking you to surrender the rights. Therefore, you have certain responsibilities if you distribute copies of the software, or if you modify it: responsibilities to respect the freedom of others. - -For example, if you distribute copies of such a program, whether gratis or for a fee, you must pass on to the recipients the same freedoms that you received. You must make sure that they, too, receive or can get the source code. And you must show them these terms so they know their rights. - -Developers that use the GNU GPL protect your rights with two steps: (1) assert copyright on the software, and (2) offer you this License giving you legal permission to copy, distribute and/or modify it. - -For the developers' and authors' protection, the GPL clearly explains that there is no warranty for this free software. For both users' and authors' sake, the GPL requires that modified versions be marked as changed, so that their problems will not be attributed erroneously to authors of previous versions. - -Some devices are designed to deny users access to install or run modified versions of the software inside them, although the manufacturer can do so. This is fundamentally incompatible with the aim of protecting users' freedom to change the software. The systematic pattern of such abuse occurs in the area of products for individuals to use, which is precisely where it is most unacceptable. Therefore, we have designed this version of the GPL to prohibit the practice for those products. If such problems arise substantially in other domains, we stand ready to extend this provision to those domains in future versions of the GPL, as needed to protect the freedom of users. - -Finally, every program is threatened constantly by software patents. States should not allow patents to restrict development and use of software on general-purpose computers, but in those that do, we wish to avoid the special danger that patents applied to a free program could make it effectively proprietary. To prevent this, the GPL assures that patents cannot be used to render the program non-free. - -The precise terms and conditions for copying, distribution and modification follow. - -TERMS AND CONDITIONS -0. Definitions. - This License refers to version 3 of the GNU General Public License. - - Copyright also means copyright-like laws that apply to other kinds of works, such as semiconductor masks. - - The Program refers to any copyrightable work licensed under this License. Each licensee is addressed as you . Licensees and recipients may be individuals or organizations. - -To modify a work means to copy from or adapt all or part of the work in a fashion requiring copyright permission, other than the making of an exact copy. The resulting work is called a modified version of the earlier work or a work based on the earlier work. - -A covered work means either the unmodified Program or a work based on the Program. - -To propagate a work means to do anything with it that, without permission, would make you directly or secondarily liable for infringement under applicable copyright law, except executing it on a computer or modifying a private copy. Propagation includes copying, distribution (with or without modification), making available to the public, and in some countries other activities as well. - -To convey a work means any kind of propagation that enables other parties to make or receive copies. Mere interaction with a user through a computer network, with no transfer of a copy, is not conveying. - -An interactive user interface displays Appropriate Legal Notices to the extent that it includes a convenient and prominently visible feature that (1) displays an appropriate copyright notice, and (2) tells the user that there is no warranty for the work (except to the extent that warranties are provided), that licensees may convey the work under this License, and how to view a copy of this License. If the interface presents a list of user commands or options, such as a menu, a prominent item in the list meets this criterion. - -1. Source Code. -The source code for a work means the preferred form of the work for making modifications to it. Object code means any non-source form of a work. - -A Standard Interface means an interface that either is an official standard defined by a recognized standards body, or, in the case of interfaces specified for a particular programming language, one that is widely used among developers working in that language. - -The System Libraries of an executable work include anything, other than the work as a whole, that (a) is included in the normal form of packaging a Major Component, but which is not part of that Major Component, and (b) serves only to enable use of the work with that Major Component, or to implement a Standard Interface for which an implementation is available to the public in source code form. A Major Component , in this context, means a major essential component (kernel, window system, and so on) of the specific operating system (if any) on which the executable work runs, or a compiler used to produce the work, or an object code interpreter used to run it. - -The Corresponding Source for a work in object code form means all the source code needed to generate, install, and (for an executable work) run the object code and to modify the work, including scripts to control those activities. However, it does not include the work's System Libraries, or general-purpose tools or generally available free programs which are used unmodified in performing those activities but which are not part of the work. For example, Corresponding Source includes interface definition files associated with source files for the work, and the source code for shared libraries and dynamically linked subprograms that the work is specifically designed to require, such as by intimate data communication or control flow between those subprograms and other parts of the work. - -The Corresponding Source need not include anything that users can regenerate automatically from other parts of the Corresponding Source. - -The Corresponding Source for a work in source code form is that same work. - -2. Basic Permissions. -All rights granted under this License are granted for the term of copyright on the Program, and are irrevocable provided the stated conditions are met. This License explicitly affirms your unlimited permission to run the unmodified Program. The output from running a covered work is covered by this License only if the output, given its content, constitutes a covered work. This License acknowledges your rights of fair use or other equivalent, as provided by copyright law. - -You may make, run and propagate covered works that you do not convey, without conditions so long as your license otherwise remains in force. You may convey covered works to others for the sole purpose of having them make modifications exclusively for you, or provide you with facilities for running those works, provided that you comply with the terms of this License in conveying all material for which you do not control copyright. Those thus making or running the covered works for you must do so exclusively on your behalf, under your direction and control, on terms that prohibit them from making any copies of your copyrighted material outside their relationship with you. - -Conveying under any other circumstances is permitted solely under the conditions stated below. Sublicensing is not allowed; section 10 makes it unnecessary. - -3. Protecting Users' Legal Rights From Anti-Circumvention Law. -No covered work shall be deemed part of an effective technological measure under any applicable law fulfilling obligations under article 11 of the WIPO copyright treaty adopted on 20 December 1996, or similar laws prohibiting or restricting circumvention of such measures. - -When you convey a covered work, you waive any legal power to forbid circumvention of technological measures to the extent such circumvention is effected by exercising rights under this License with respect to the covered work, and you disclaim any intention to limit operation or modification of the work as a means of enforcing, against the work's users, your or third parties' legal rights to forbid circumvention of technological measures. - -4. Conveying Verbatim Copies. -You may convey verbatim copies of the Program's source code as you receive it, in any medium, provided that you conspicuously and appropriately publish on each copy an appropriate copyright notice; keep intact all notices stating that this License and any non-permissive terms added in accord with section 7 apply to the code; keep intact all notices of the absence of any warranty; and give all recipients a copy of this License along with the Program. - -You may charge any price or no price for each copy that you convey, and you may offer support or warranty protection for a fee. - -5. Conveying Modified Source Versions. -You may convey a work based on the Program, or the modifications to produce it from the Program, in the form of source code under the terms of section 4, provided that you also meet all of these conditions: - -a) The work must carry prominent notices stating that you modified it, and giving a relevant date. -b) The work must carry prominent notices stating that it is released under this License and any conditions added under section 7. This requirement modifies the requirement in section 4 to keep intact all notices . -c) You must license the entire work, as a whole, under this License to anyone who comes into possession of a copy. This License will therefore apply, along with any applicable section 7 additional terms, to the whole of the work, and all its parts, regardless of how they are packaged. This License gives no permission to license the work in any other way, but it does not invalidate such permission if you have separately received it. -d) If the work has interactive user interfaces, each must display Appropriate Legal Notices; however, if the Program has interactive interfaces that do not display Appropriate Legal Notices, your work need not make them do so. -A compilation of a covered work with other separate and independent works, which are not by their nature extensions of the covered work, and which are not combined with it such as to form a larger program, in or on a volume of a storage or distribution medium, is called an aggregate if the compilation and its resulting copyright are not used to limit the access or legal rights of the compilation's users beyond what the individual works permit. Inclusion of a covered work in an aggregate does not cause this License to apply to the other parts of the aggregate. - -6. Conveying Non-Source Forms. -You may convey a covered work in object code form under the terms of sections 4 and 5, provided that you also convey the machine-readable Corresponding Source under the terms of this License, in one of these ways: - -a) Convey the object code in, or embodied in, a physical product (including a physical distribution medium), accompanied by the Corresponding Source fixed on a durable physical medium customarily used for software interchange. -b) Convey the object code in, or embodied in, a physical product (including a physical distribution medium), accompanied by a written offer, valid for at least three years and valid for as long as you offer spare parts or customer support for that product model, to give anyone who possesses the object code either (1) a copy of the Corresponding Source for all the software in the product that is covered by this License, on a durable physical medium customarily used for software interchange, for a price no more than your reasonable cost of physically performing this conveying of source, or (2) access to copy the Corresponding Source from a network server at no charge. -c) Convey individual copies of the object code with a copy of the written offer to provide the Corresponding Source. This alternative is allowed only occasionally and noncommercially, and only if you received the object code with such an offer, in accord with subsection 6b. -d) Convey the object code by offering access from a designated place (gratis or for a charge), and offer equivalent access to the Corresponding Source in the same way through the same place at no further charge. You need not require recipients to copy the Corresponding Source along with the object code. If the place to copy the object code is a network server, the Corresponding Source may be on a different server (operated by you or a third party) that supports equivalent copying facilities, provided you maintain clear directions next to the object code saying where to find the Corresponding Source. Regardless of what server hosts the Corresponding Source, you remain obligated to ensure that it is available for as long as needed to satisfy these requirements. -e) Convey the object code using peer-to-peer transmission, provided you inform other peers where the object code and Corresponding Source of the work are being offered to the general public at no charge under subsection 6d. -A separable portion of the object code, whose source code is excluded from the Corresponding Source as a System Library, need not be included in conveying the object code work. - -A User Product is either (1) a consumer product , which means any tangible personal property which is normally used for personal, family, or household purposes, or (2) anything designed or sold for incorporation into a dwelling. In determining whether a product is a consumer product, doubtful cases shall be resolved in favor of coverage. For a particular product received by a particular user, normally used refers to a typical or common use of that class of product, regardless of the status of the particular user or of the way in which the particular user actually uses, or expects or is expected to use, the product. A product is a consumer product regardless of whether the product has substantial commercial, industrial or non-consumer uses, unless such uses represent the only significant mode of use of the product. - - Installation Information for a User Product means any methods, procedures, authorization keys, or other information required to install and execute modified versions of a covered work in that User Product from a modified version of its Corresponding Source. The information must suffice to ensure that the continued functioning of the modified object code is in no case prevented or interfered with solely because modification has been made. - -If you convey an object code work under this section in, or with, or specifically for use in, a User Product, and the conveying occurs as part of a transaction in which the right of possession and use of the User Product is transferred to the recipient in perpetuity or for a fixed term (regardless of how the transaction is characterized), the Corresponding Source conveyed under this section must be accompanied by the Installation Information. But this requirement does not apply if neither you nor any third party retains the ability to install modified object code on the User Product (for example, the work has been installed in ROM). - -The requirement to provide Installation Information does not include a requirement to continue to provide support service, warranty, or updates for a work that has been modified or installed by the recipient, or for the User Product in which it has been modified or installed. Access to a network may be denied when the modification itself materially and adversely affects the operation of the network or violates the rules and protocols for communication across the network. - -Corresponding Source conveyed, and Installation Information provided, in accord with this section must be in a format that is publicly documented (and with an implementation available to the public in source code form), and must require no special password or key for unpacking, reading or copying. - -7. Additional Terms. - Additional permissions are terms that supplement the terms of this License by making exceptions from one or more of its conditions. Additional permissions that are applicable to the entire Program shall be treated as though they were included in this License, to the extent that they are valid under applicable law. If additional permissions apply only to part of the Program, that part may be used separately under those permissions, but the entire Program remains governed by this License without regard to the additional permissions. - -When you convey a copy of a covered work, you may at your option remove any additional permissions from that copy, or from any part of it. (Additional permissions may be written to require their own removal in certain cases when you modify the work.) You may place additional permissions on material, added by you to a covered work, for which you have or can give appropriate copyright permission. - -Notwithstanding any other provision of this License, for material you add to a covered work, you may (if authorized by the copyright holders of that material) supplement the terms of this License with terms: - -a) Disclaiming warranty or limiting liability differently from the terms of sections 15 and 16 of this License; or -b) Requiring preservation of specified reasonable legal notices or author attributions in that material or in the Appropriate Legal Notices displayed by works containing it; or -c) Prohibiting misrepresentation of the origin of that material, or requiring that modified versions of such material be marked in reasonable ways as different from the original version; or -d) Limiting the use for publicity purposes of names of licensors or authors of the material; or -e) Declining to grant rights under trademark law for use of some trade names, trademarks, or service marks; or -f) Requiring indemnification of licensors and authors of that material by anyone who conveys the material (or modified versions of it) with contractual assumptions of liability to the recipient, for any liability that these contractual assumptions directly impose on those licensors and authors. -All other non-permissive additional terms are considered further restrictions within the meaning of section 10. If the Program as you received it, or any part of it, contains a notice stating that it is governed by this License along with a term that is a further restriction, you may remove that term. If a license document contains a further restriction but permits relicensing or conveying under this License, you may add to a covered work material governed by the terms of that license document, provided that the further restriction does not survive such relicensing or conveying. - -If you add terms to a covered work in accord with this section, you must place, in the relevant source files, a statement of the additional terms that apply to those files, or a notice indicating where to find the applicable terms. - -Additional terms, permissive or non-permissive, may be stated in the form of a separately written license, or stated as exceptions; the above requirements apply either way. - -8. Termination. -You may not propagate or modify a covered work except as expressly provided under this License. Any attempt otherwise to propagate or modify it is void, and will automatically terminate your rights under this License (including any patent licenses granted under the third paragraph of section 11). - -However, if you cease all violation of this License, then your license from a particular copyright holder is reinstated (a) provisionally, unless and until the copyright holder explicitly and finally terminates your license, and (b) permanently, if the copyright holder fails to notify you of the violation by some reasonable means prior to 60 days after the cessation. - -Moreover, your license from a particular copyright holder is reinstated permanently if the copyright holder notifies you of the violation by some reasonable means, this is the first time you have received notice of violation of this License (for any work) from that copyright holder, and you cure the violation prior to 30 days after your receipt of the notice. - -Termination of your rights under this section does not terminate the licenses of parties who have received copies or rights from you under this License. If your rights have been terminated and not permanently reinstated, you do not qualify to receive new licenses for the same material under section 10. - -9. Acceptance Not Required for Having Copies. -You are not required to accept this License in order to receive or run a copy of the Program. Ancillary propagation of a covered work occurring solely as a consequence of using peer-to-peer transmission to receive a copy likewise does not require acceptance. However, nothing other than this License grants you permission to propagate or modify any covered work. These actions infringe copyright if you do not accept this License. Therefore, by modifying or propagating a covered work, you indicate your acceptance of this License to do so. - -10. Automatic Licensing of Downstream Recipients. -Each time you convey a covered work, the recipient automatically receives a license from the original licensors, to run, modify and propagate that work, subject to this License. You are not responsible for enforcing compliance by third parties with this License. - -An entity transaction is a transaction transferring control of an organization, or substantially all assets of one, or subdividing an organization, or merging organizations. If propagation of a covered work results from an entity transaction, each party to that transaction who receives a copy of the work also receives whatever licenses to the work the party's predecessor in interest had or could give under the previous paragraph, plus a right to possession of the Corresponding Source of the work from the predecessor in interest, if the predecessor has it or can get it with reasonable efforts. - -You may not impose any further restrictions on the exercise of the rights granted or affirmed under this License. For example, you may not impose a license fee, royalty, or other charge for exercise of rights granted under this License, and you may not initiate litigation (including a cross-claim or counterclaim in a lawsuit) alleging that any patent claim is infringed by making, using, selling, offering for sale, or importing the Program or any portion of it. - -11. Patents. -A contributor is a copyright holder who authorizes use under this License of the Program or a work on which the Program is based. The work thus licensed is called the contributor's contributor version . - -A contributor's essential patent claims are all patent claims owned or controlled by the contributor, whether already acquired or hereafter acquired, that would be infringed by some manner, permitted by this License, of making, using, or selling its contributor version, but do not include claims that would be infringed only as a consequence of further modification of the contributor version. For purposes of this definition, control includes the right to grant patent sublicenses in a manner consistent with the requirements of this License. - -Each contributor grants you a non-exclusive, worldwide, royalty-free patent license under the contributor's essential patent claims, to make, use, sell, offer for sale, import and otherwise run, modify and propagate the contents of its contributor version. - -In the following three paragraphs, a patent license is any express agreement or commitment, however denominated, not to enforce a patent (such as an express permission to practice a patent or covenant not to sue for patent infringement). To grant such a patent license to a party means to make such an agreement or commitment not to enforce a patent against the party. - -If you convey a covered work, knowingly relying on a patent license, and the Corresponding Source of the work is not available for anyone to copy, free of charge and under the terms of this License, through a publicly available network server or other readily accessible means, then you must either (1) cause the Corresponding Source to be so available, or (2) arrange to deprive yourself of the benefit of the patent license for this particular work, or (3) arrange, in a manner consistent with the requirements of this License, to extend the patent license to downstream recipients. Knowingly relying means you have actual knowledge that, but for the patent license, your conveying the covered work in a country, or your recipient's use of the covered work in a country, would infringe one or more identifiable patents in that country that you have reason to believe are valid. - -If, pursuant to or in connection with a single transaction or arrangement, you convey, or propagate by procuring conveyance of, a covered work, and grant a patent license to some of the parties receiving the covered work authorizing them to use, propagate, modify or convey a specific copy of the covered work, then the patent license you grant is automatically extended to all recipients of the covered work and works based on it. - -A patent license is discriminatory if it does not include within the scope of its coverage, prohibits the exercise of, or is conditioned on the non-exercise of one or more of the rights that are specifically granted under this License. You may not convey a covered work if you are a party to an arrangement with a third party that is in the business of distributing software, under which you make payment to the third party based on the extent of your activity of conveying the work, and under which the third party grants, to any of the parties who would receive the covered work from you, a discriminatory patent license (a) in connection with copies of the covered work conveyed by you (or copies made from those copies), or (b) primarily for and in connection with specific products or compilations that contain the covered work, unless you entered into that arrangement, or that patent license was granted, prior to 28 March 2007. - -Nothing in this License shall be construed as excluding or limiting any implied license or other defenses to infringement that may otherwise be available to you under applicable patent law. - -12. No Surrender of Others' Freedom. -If conditions are imposed on you (whether by court order, agreement or otherwise) that contradict the conditions of this License, they do not excuse you from the conditions of this License. If you cannot convey a covered work so as to satisfy simultaneously your obligations under this License and any other pertinent obligations, then as a consequence you may not convey it at all. For example, if you agree to terms that obligate you to collect a royalty for further conveying from those to whom you convey the Program, the only way you could satisfy both those terms and this License would be to refrain entirely from conveying the Program. - -13. Use with the GNU Affero General Public License. -Notwithstanding any other provision of this License, you have permission to link or combine any covered work with a work licensed under version 3 of the GNU Affero General Public License into a single combined work, and to convey the resulting work. The terms of this License will continue to apply to the part which is the covered work, but the special requirements of the GNU Affero General Public License, section 13, concerning interaction through a network will apply to the combination as such. - -14. Revised Versions of this License. -The Free Software Foundation may publish revised and/or new versions of the GNU General Public License from time to time. Such new versions will be similar in spirit to the present version, but may differ in detail to address new problems or concerns. - -Each version is given a distinguishing version number. If the Program specifies that a certain numbered version of the GNU General Public License or any later version applies to it, you have the option of following the terms and conditions either of that numbered version or of any later version published by the Free Software Foundation. If the Program does not specify a version number of the GNU General Public License, you may choose any version ever published by the Free Software Foundation. - -If the Program specifies that a proxy can decide which future versions of the GNU General Public License can be used, that proxy's public statement of acceptance of a version permanently authorizes you to choose that version for the Program. - -Later license versions may give you additional or different permissions. However, no additional obligations are imposed on any author or copyright holder as a result of your choosing to follow a later version. - -15. Disclaimer of Warranty. -THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM AS IS WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING, REPAIR OR CORRECTION. - -16. Limitation of Liability. -IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGES. - -17. Interpretation of Sections 15 and 16. -If the disclaimer of warranty and limitation of liability provided above cannot be given local legal effect according to their terms, reviewing courts shall apply local law that most closely approximates an absolute waiver of all civil liability in connection with the Program, unless a warranty or assumption of liability accompanies a copy of the Program in return for a fee. - -END OF TERMS AND CONDITIONS - -How to Apply These Terms to Your New Programs -If you develop a new program, and you want it to be of the greatest possible use to the public, the best way to achieve this is to make it free software which everyone can redistribute and change under these terms. - -To do so, attach the following notices to the program. It is safest to attach them to the start of each source file to most effectively state the exclusion of warranty; and each file should have at least the copyright line and a pointer to where the full notice is found. - - - Copyright (C) - - This program is free software: you can redistribute it and/or modify - it under the terms of the GNU General Public License as published by - the Free Software Foundation, either version 3 of the License, or - (at your option) any later version. - - This program is distributed in the hope that it will be useful, - but WITHOUT ANY WARRANTY; without even the implied warranty of - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - GNU General Public License for more details. - - You should have received a copy of the GNU General Public License - along with this program. If not, see . -Also add information on how to contact you by electronic and paper mail. - -If the program does terminal interaction, make it output a short notice like this when it starts in an interactive mode: - - Copyright (C) - - This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'. - This is free software, and you are welcome to redistribute it - under certain conditions; type `show c' for details. -The hypothetical commands `show w' and `show c' should show the appropriate parts of the General Public License. Of course, your program's commands might be different; for a GUI interface, you would use an about box . - -You should also get your employer (if you work as a programmer) or school, if any, to sign a copyright disclaimer for the program, if necessary. For more information on this, and how to apply and follow the GNU GPL, see . - -The GNU General Public License does not permit incorporating your program into proprietary programs. If your program is a subroutine library, you may consider it more useful to permit linking proprietary applications with the library. If this is what you want to do, use the GNU Lesser General Public License instead of this License. But first, please read . - Index: trunk/neural_net_perceptron/sim/01_sim_start.bat =================================================================== --- trunk/neural_net_perceptron/sim/01_sim_start.bat (revision 8) +++ trunk/neural_net_perceptron/sim/01_sim_start.bat (nonexistent) @@ -1,2 +0,0 @@ -rem Script to start the simulation -vsim -f 02_sim_args.txt Index: trunk/neural_net_perceptron/sim/00_README.txt =================================================================== --- trunk/neural_net_perceptron/sim/00_README.txt (revision 8) +++ trunk/neural_net_perceptron/sim/00_README.txt (nonexistent) @@ -1,8 +0,0 @@ -Your environment must point to a valid installation of Questasim. -You need Questasim to run all tests and features. -It was NOT tested with Modelsim DE/PE or SE (SE:2021.3 last version - Questasim since 2021.Q4) -Intel's Questa FPGA Edition runs well also. - -Run "sim_start" to run the pre-defined test bench "tb_avm_instruction_gen_v04_public.vhd" -located in folder /tb/vhdl/. - Index: trunk/neural_net_perceptron/sim/03_file_list.txt =================================================================== --- trunk/neural_net_perceptron/sim/03_file_list.txt (revision 8) +++ trunk/neural_net_perceptron/sim/03_file_list.txt (nonexistent) @@ -1,19 +0,0 @@ -"../rtl/vhdl/memory_vhd_v03_pkg.vhd" -"../rtl/vhdl/p0300_m00022_s_v02_cal_y_fsm.vhd" -"../rtl/vhdl/p0300_m00034_s_v01_for_loop_memwj_fsm.vhd" -"../rtl/vhdl/p0300_m00024_s_v02_test_fsm.vhd" -"../rtl/vhdl/p0300_m00026_s_v02_rd_wr_fsm.vhd" -"../rtl/vhdl/p0300_m00027_s_v01_train_fsm.vhd" -"../rtl/vhdl/p0300_m00028_s_v02_latency_fsm.vhd" -"../rtl/vhdl/p0300_m00021_s_v03_wishbone_fsm.vhd" -"../rtl/vhdl/p0300_m00033_s_v01_for_loop_memwi_fsm.vhd" -"../rtl/vhdl/p0300_m00023_s_v02_cal_w_fsm.vhd" -"../rtl/vhdl/p0300_m00025_s_v02_init_fsm.vhd" -"../rtl/vhdl/p0300_m00020_s_v03_perceptron_blk.vhd" -"../rtl/vhdl/p0300_m00103_s_v01_mem_s.vhd" -"../rtl/vhdl/p0300_m00101_m_v01_mem_t.vhd" -"../rtl/vhdl/p0300_m00102_s_v01_mem_w.vhd" -"../rtl/vhdl/p0300_m00100_s_v01_mem_gen_blk.vhd" -"../rtl/vhdl/p0300_m00000_s_v03_top_level_blk.vhd" - - Index: trunk/neural_net_perceptron/sim/11_wave_golden_20220721.do =================================================================== --- trunk/neural_net_perceptron/sim/11_wave_golden_20220721.do (revision 8) +++ trunk/neural_net_perceptron/sim/11_wave_golden_20220721.do (nonexistent) @@ -1,541 +0,0 @@ -onerror {resume} -quietly WaveActivateNextPane {} 0 -add wave -noupdate -divider TB_TOP_LEVEL -add wave -noupdate /tb_avm_instruction_gen_v04_public/stream01 -add wave -noupdate -height 29 /tb_avm_instruction_gen_v04_public/stream02 -add wave -noupdate -group TB_TOP_LEVEL /tb_avm_instruction_gen_v04_public/clk_gen_o -add wave -noupdate -group TB_TOP_LEVEL /tb_avm_instruction_gen_v04_public/rst_proc_o -add wave -noupdate -group TB_TOP_LEVEL /tb_avm_instruction_gen_v04_public/rst_run_proc_o -add wave -noupdate -group TB_TOP_LEVEL /tb_avm_instruction_gen_v04_public/tb_wb_adri_oi -add wave -noupdate -group TB_TOP_LEVEL /tb_avm_instruction_gen_v04_public/tb_wb_adro_oi -add wave -noupdate -group TB_TOP_LEVEL /tb_avm_instruction_gen_v04_public/tb_wb_dout_oi -add wave -noupdate -group TB_TOP_LEVEL /tb_avm_instruction_gen_v04_public/tb_wb_stb_oi -add wave -noupdate -group TB_TOP_LEVEL /tb_avm_instruction_gen_v04_public/tb_wb_cyc_oi -add wave -noupdate -group TB_TOP_LEVEL /tb_avm_instruction_gen_v04_public/tb_wb_we_oi -add wave -noupdate -group TB_TOP_LEVEL /tb_avm_instruction_gen_v04_public/tb_wb_ack_oi -add wave -noupdate -group TB_TOP_LEVEL /tb_avm_instruction_gen_v04_public/tb_wb_din_oi -add wave -noupdate -group TB_TOP_LEVEL /tb_avm_instruction_gen_v04_public/tb_wb_clear_epoch_oi -add wave -noupdate -group TB_TOP_LEVEL /tb_avm_instruction_gen_v04_public/tb_wb_thres_oi -add wave -noupdate -group TB_TOP_LEVEL /tb_avm_instruction_gen_v04_public/done -add wave -noupdate -group TB_TOP_LEVEL -height 29 /tb_avm_instruction_gen_v04_public/stream02 -add wave -noupdate -group TB_TOP_LEVEL /tb_avm_instruction_gen_v04_public/stream01 -add wave -noupdate -divider TOP_LEVEL -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/wb_adr_i -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/wb_clk_i -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/wb_cyc_i -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/wb_dat_i -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/wb_rst_i -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/wb_stb_i -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/wb_we_i -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/ctrl_int_o -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/wb_ack_o -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/wb_dat_o -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/addr_i_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/addr_j_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/dbias_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/dout1_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/dout2_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/dout3_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/dout4_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/dout5_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/dout7_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/ds_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/dt_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/dw_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/dy_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/we_bias2_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/we_bias3_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/we_bias5_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/we_s3_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/we_s4_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/we_s5_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/we_t3_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/we_t4_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/we_t5_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/we_w2_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/we_w3_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/we_w4_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/we_w5_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/we_w7_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/we_y1_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/we_y3_oi -add wave -noupdate -group TOP_LEVEL /tb_avm_instruction_gen_v04_public/U_0/we_y5_oi -add wave -noupdate -divider PERCEPTRON -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/clk_i -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/dbias_i -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ds_i -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/dt_i -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/dw_i -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/dy_i -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/rst_i -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/wb_adr_i -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/wb_cyc_i -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/wb_dat_i -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/wb_stb_i -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/wb_we_i -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/addr_i_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/addr_j_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_int_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/dout1_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/dout2_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/dout3_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/dout4_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/dout5_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/dout7_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/wb_ack_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/wb_dat_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/we_bias2_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/we_bias3_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/we_bias5_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/we_s3_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/we_s4_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/we_s5_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/we_t3_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/we_t4_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/we_t5_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/we_w2_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/we_w3_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/we_w4_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/we_w5_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/we_w7_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/we_y1_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/we_y3_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/we_y5_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/cnt_alllat_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/cnteni1_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/cnteni2_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/cnteni3_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/cnteni4_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/cnteni5_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/cnteni7_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/cntenj1_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/cntenj2_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/cntenj3_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/cntenj4_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/cntenj5_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/cntenj7_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/cnti_end_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/cnti_rdy_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/cntj_end_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/cntj_rdy_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_bias_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_clear_epoch_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_complete_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_din_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_dout_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_dout_valid_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_epoch_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_int4_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_int6_o -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_maxepoch_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_memerr_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_not_rdy6_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_offset_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_rd_vec_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_rdlat_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_rdy1_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_rdy2_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_rdy3_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_rdy4_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_rdy5_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_rdy6_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_rdy7_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_run7_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_start1_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_start2_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_start3_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_start4_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_start5_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_start6_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_thres_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_wchgd_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_wr_vec_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/ctrl_wrlat_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/rst_n_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/set_initi_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/set_initj_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/starti_val_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/startj_val_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/stopi_val_oi -add wave -noupdate -group PERCEPTRON /tb_avm_instruction_gen_v04_public/U_0/U_0/stopj_val_oi -add wave -noupdate -divider WISHBONE -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/clk_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_alllat_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_complete_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_dout_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_dout_valid_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_epoch_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_int_test_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_int_train_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_memerr_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_not_rdy6_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_rdlat_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_rdy1_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_rdy2_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_rdy3_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_rdy4_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_rdy5_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_rdy6_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_run7_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_wrlat_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/rst_n_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/wb_adr_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/wb_cyc_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/wb_dat_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/wb_stb_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/wb_we_i -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_bias_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_clear_epoch_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_din_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_int_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_maxepoch_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_offset_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_rd_vec_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_set_starti_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_set_startj_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_start3_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_start4_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_start5_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_start6_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_starti_val_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_startj_val_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_stopi_val_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_stopj_val_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_thres_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_wr_vec_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/wb_ack_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/wb_dat_o -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_bias_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_complete_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_din_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_int_en_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_int_test_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_int_train_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_maxepoch_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_offset_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_rd_vec_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_rdy_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_starti_val_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_startj_val_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_stat_a_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_stopi_val_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_stopj_val_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_thres_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/ctrl_wr_vec_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/wb_adr_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/wb_cyc_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/wb_dat_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/wb_stb_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/wb_we_reg -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/zero_net_addrs -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/zero_net_addrt -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/current_state -add wave -noupdate -group WISHBONE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_14/next_state -add wave -noupdate -divider FOR_LOOP_I -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/clk_i -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/cnten1_i -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/cnten2_i -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/cnten3_i -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/cnten4_i -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/cnten5_i -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/cnten7_i -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/rst_n_i -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/set_init_i -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/start_vali_i -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/stop_vali_i -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/cnt_end_o -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/cnt_rdy_o -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/cnt_val_o -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/cnt_end_reg -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/cnt_reg -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/cnten_reg -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/set_init_reg -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/current_state -add wave -noupdate -group FOR_LOOP_I /tb_avm_instruction_gen_v04_public/U_0/U_0/U_2/next_state -add wave -noupdate -divider FOR_LOOP_J -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/clk_i -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/cnten1_i -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/cnten2_i -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/cnten3_i -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/cnten4_i -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/cnten5_i -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/cnten7_i -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/rst_n_i -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/set_init_i -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/start_valj_i -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/stop_valj_i -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/cnt_end_o -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/cnt_rdy_o -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/cnt_val_o -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/cnt_end_reg -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/cnt_reg -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/cnten_reg -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/set_init_reg -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/current_state -add wave -noupdate -group FOR_LOOP_J /tb_avm_instruction_gen_v04_public/U_0/U_0/U_1/next_state -add wave -noupdate -divider CAL_Y -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/clk_i -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/cnti_end_i -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/cntj_end_i -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/ctrl_rdlat_i -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/ctrl_rdy7_i -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/ctrl_start_i -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/ctrl_thres_i -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/ctrl_wrlat_i -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/dbias_i -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/ds_i -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/dw_i -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/rst_n_i -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/cnteni_o -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/cntenj_o -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/ctrl_rdy_o -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/dout_o -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/we_y_o -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/ctrl_rdlat_reg -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/ctrl_start_reg -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/ctrl_wrlat_reg -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/dbias_reg -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/dw_reg -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/y_inj_reg -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/current_state -add wave -noupdate -group CAL_Y /tb_avm_instruction_gen_v04_public/U_0/U_0/U_0/next_state -add wave -noupdate -divider CAL_W -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/clk_i -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/cnti_end_i -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/cntj_end_i -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/ctrl_rdlat_i -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/ctrl_rdy7_i -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/ctrl_start_i -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/ctrl_wrlat_i -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/dbias_i -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/ds_i -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/dt_i -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/dw_i -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/dy_i -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/rst_n_i -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/cnteni_o -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/cntenj_o -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/ctrl_rdy_o -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/ctrl_wchgd_o -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/dout_o -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/we_bias_o -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/we_w_o -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/ctrl_rdlat_reg -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/ctrl_start_reg -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/ctrl_wchgd_reg -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/ctrl_wrlat_reg -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/dbias_reg -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/dt_reg -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/dw_reg -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/current_state -add wave -noupdate -group CAL_W /tb_avm_instruction_gen_v04_public/U_0/U_0/U_8/next_state -add wave -noupdate -divider INIT -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/clk_i -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/cnti_end_i -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/cntj_end_i -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/ctrl_bias_i -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/ctrl_rdlat_i -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/ctrl_rdy7_i -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/ctrl_start_i -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/ctrl_wrlat_i -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/rst_n_i -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/cnteni_o -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/cntenj_o -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/ctrl_rdy_o -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/dout_o -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/we_bias_o -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/we_s_o -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/we_t_o -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/we_w_o -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/we_y_o -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/ctrl_rdlat_reg -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/ctrl_start_reg -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/ctrl_wrlat_reg -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/current_state -add wave -noupdate -group INIT /tb_avm_instruction_gen_v04_public/U_0/U_0/U_9/next_state -add wave -noupdate -divider TEST -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/clk_i -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/cnti_end_i -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/cntj_end_i -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/ctrl_rdlat_i -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/ctrl_rdy7_i -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/ctrl_start_i -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/ctrl_wrlat_i -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/ds_i -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/dt_i -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/dw_i -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/offset_i -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/rst_n_i -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/cnteni_o -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/cntenj_o -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/ctrl_int_o -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/ctrl_rdy_o -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/dout_o -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/we_s_o -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/we_t_o -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/we_w_o -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/ctrl_int_reg -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/ctrl_rdlat_reg -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/ctrl_start_reg -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/ctrl_wrlat_reg -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/dt_reg -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/dw_reg -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/current_state -add wave -noupdate -group TEST /tb_avm_instruction_gen_v04_public/U_0/U_0/U_10/next_state -add wave -noupdate -divider READ_WRITE -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/clk_i -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/cnti_end_i -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/cntj_end_i -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/ctrl_din_i -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/ctrl_rd_vec_i -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/ctrl_rdlat_i -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/ctrl_rdy7_i -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/ctrl_start_i -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/ctrl_wr_vec_i -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/ctrl_wrlat_i -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/dbias_i -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/ds_i -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/dt_i -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/dw_i -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/dy_i -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/rst_n_i -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/cnteni_o -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/cntenj_o -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/ctrl_complete_o -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/ctrl_dout_o -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/ctrl_dout_valid_o -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/ctrl_rdy_o -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/dout_o -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/we_bias_o -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/we_s_o -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/we_t_o -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/we_w_o -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/we_y_o -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/ctrl_complete_reg -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/ctrl_dout_reg -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/ctrl_dout_valid_reg -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/ctrl_rdlat_reg -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/ctrl_start_reg -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/ctrl_wrlat_reg -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/current_state -add wave -noupdate -group READ_WRITE /tb_avm_instruction_gen_v04_public/U_0/U_0/U_11/next_state -add wave -noupdate -divider TRAINING -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/clk_i -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/cnti_rdy_i -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/cntj_rdy_i -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_clear_epoch_i -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_maxepoch_i -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_rdy1_i -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_rdy2_i -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_rdy7_i -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_start_i -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_wchgd_i -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/rst_n_i -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_epoch_o -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_int_o -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_not_rdy_o -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_rdy_o -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_start1_o -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_start2_o -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/cnti_rdy_reg -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/cntj_rdy_reg -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_clear_epoch_reg -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_epoch_reg -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_int_reg -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_not_rdy_reg -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_rdy1_reg -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_rdy2_reg -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/ctrl_start_reg -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/current_state -add wave -noupdate -group TRAINING /tb_avm_instruction_gen_v04_public/U_0/U_0/U_12/next_state -add wave -noupdate -divider LATENCY -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/clk_i -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/dw_i -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/rst_n_i -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/cnt_alllat_o -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/cnteni_o -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/cntenj_o -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/ctrl_memerr_o -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/ctrl_rdlat_o -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/ctrl_rdy_o -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/ctrl_run_o -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/ctrl_wrlat_o -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/dout_o -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/we_w_o -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/cnt_alllat_reg -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/ctrl_memerr_reg -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/ctrl_rdlat_reg -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/ctrl_rdy_reg -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/ctrl_run_reg -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/ctrl_wrlat_reg -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/dw_reg -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/current_state -add wave -noupdate -group LATENCY /tb_avm_instruction_gen_v04_public/U_0/U_0/U_13/next_state -add wave -noupdate -divider S-MEMORY -add wave -noupdate -group S-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_0/clk_i -add wave -noupdate -group S-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_0/we_i -add wave -noupdate -group S-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_0/d_i -add wave -noupdate -group S-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_0/addr_i -add wave -noupdate -group S-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_0/d_o -add wave -noupdate -group S-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_0/addr_reg -add wave -noupdate -group S-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_0/din_reg -add wave -noupdate -group S-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_0/we_reg -add wave -noupdate -group S-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_0/s_ram -add wave -noupdate -divider T-MEMORY -add wave -noupdate -group T-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_1/clk_i -add wave -noupdate -group T-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_1/we_i -add wave -noupdate -group T-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_1/d_i -add wave -noupdate -group T-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_1/addr_i -add wave -noupdate -group T-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_1/d_o -add wave -noupdate -group T-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_1/addr_reg -add wave -noupdate -group T-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_1/din_reg -add wave -noupdate -group T-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_1/we_reg -add wave -noupdate -group T-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_1/t_ram -add wave -noupdate -divider Y-MEMORY -add wave -noupdate -group Y-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_2/clk_i -add wave -noupdate -group Y-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_2/we_i -add wave -noupdate -group Y-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_2/d_i -add wave -noupdate -group Y-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_2/addr_i -add wave -noupdate -group Y-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_2/d_o -add wave -noupdate -group Y-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_2/addr_reg -add wave -noupdate -group Y-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_2/din_reg -add wave -noupdate -group Y-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_2/we_reg -add wave -noupdate -group Y-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_2/t_ram -add wave -noupdate -divider BIAS-MEMORY -add wave -noupdate -group BIAS-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_3/clk_i -add wave -noupdate -group BIAS-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_3/we_i -add wave -noupdate -group BIAS-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_3/d_i -add wave -noupdate -group BIAS-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_3/addr_i -add wave -noupdate -group BIAS-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_3/d_o -add wave -noupdate -group BIAS-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_3/addr_reg -add wave -noupdate -group BIAS-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_3/din_reg -add wave -noupdate -group BIAS-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_3/we_reg -add wave -noupdate -group BIAS-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_3/t_ram -add wave -noupdate -divider W-MEMORY -add wave -noupdate -group W-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_4/clk_i -add wave -noupdate -group W-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_4/we_i -add wave -noupdate -group W-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_4/d_i -add wave -noupdate -group W-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_4/addr_i -add wave -noupdate -group W-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_4/d_o -add wave -noupdate -group W-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_4/addr_reg -add wave -noupdate -group W-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_4/din_reg -add wave -noupdate -group W-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_4/we_reg -add wave -noupdate -group W-MEMORY /tb_avm_instruction_gen_v04_public/U_0/U_1/U_4/w_ram -TreeUpdate [SetDefaultTree] -WaveRestoreCursors {{Cursor 1} {0 ns} 0} -quietly wave cursor active 0 -configure wave -namecolwidth 450 -configure wave -valuecolwidth 120 -configure wave -justifyvalue left -configure wave -signalnamewidth 0 -configure wave -snapdistance 10 -configure wave -datasetprefix 0 -configure wave -rowmargin 4 -configure wave -childrowmargin 2 -configure wave -gridoffset 0 -configure wave -gridperiod 1 -configure wave -griddelta 40 -configure wave -timeline 0 -configure wave -timelineunits ps -update -WaveRestoreZoom {0 ns} {753333 ns} Index: trunk/neural_net_perceptron/sim/04_tb_avm_instruction_gen_v04_public.do =================================================================== --- trunk/neural_net_perceptron/sim/04_tb_avm_instruction_gen_v04_public.do (revision 8) +++ trunk/neural_net_perceptron/sim/04_tb_avm_instruction_gen_v04_public.do (nonexistent) @@ -1,292 +0,0 @@ -# generate foreign module declaration -onerror {resume} - -# create library -if [file exists {./work}] { - vdel -all {./work} -} -vlib {./work} - -# -# Add logical mapping >work< to the local design simulation library -# -vmap work {./work} - -#pwd - - -# -# Open debugging windows -# -quietly view * - -# -# Start and run simulation -# -set StdArithNoWarnings 1 -vcom -work "work" -nologo -2008 -F 03_file_list.txt -vcom -work "work" -nologo -93 ../tb/vhdl/tb_avm_instruction_gen_v04_public.vhd - -vsim -no_autoacc -L work -coverage -voptargs="+cover=bcesfx" -l transcript.txt -i -multisource_delay latest -t ns +typdelays work.tb_avm_instruction_gen_v04_public(testbench) - -assertion active -assertion profile on -assertion report -verbose - - -configure wave -namecolwidth 450 -#configure wave -valuecolwidth 145 -configure wave -valuecolwidth 120 -configure wave -justifyvalue left -configure wave -signalnamewidth 0 -configure wave -snapdistance 10 -configure wave -datasetprefix 0 -configure wave -rowmargin 4 -configure wave -childrowmargin 2 -configure wave -gridoffset 0 -configure wave -gridperiod 1 -configure wave -griddelta 40 -configure wave -timeline 0 -configure wave -timelineunits ps -update - - -# View the results. -#if {![batch_mode]} { - - quietly add wave -divider TB_TOP_LEVEL - quietly add wave -expand /stream01 - quietly add wave -expand /stream02 - quietly add wave -group TB_TOP_LEVEL /* - - quietly add wave -divider TOP_LEVEL - quietly add wave -group TOP_LEVEL /u_0/* - - quietly add wave -divider PERCEPTRON - quietly add wave -group PERCEPTRON /u_0/u_0/* - - quietly add wave -divider WISHBONE - quietly add wave -group WISHBONE /u_0/u_0/u_14/* - - quietly add wave -divider FOR_LOOP_I - quietly add wave -group FOR_LOOP_I /u_0/u_0/u_2/* - - quietly add wave -divider FOR_LOOP_J - quietly add wave -group FOR_LOOP_J /u_0/u_0/u_1/* - - quietly add wave -divider CAL_Y - quietly add wave -group CAL_Y /u_0/u_0/u_0/* - - quietly add wave -divider CAL_W - quietly add wave -group CAL_W /u_0/u_0/u_8/* - - quietly add wave -divider INIT - quietly add wave -group INIT /u_0/u_0/u_9/* - - quietly add wave -divider TEST - quietly add wave -group TEST /u_0/u_0/u_10/* - - quietly add wave -divider READ_WRITE - quietly add wave -group READ_WRITE /u_0/u_0/u_11/* - - quietly add wave -divider TRAINING - quietly add wave -group TRAINING /u_0/u_0/u_12/* - - quietly add wave -divider LATENCY - quietly add wave -group LATENCY /u_0/u_0/u_13/* - - quietly add wave -divider S-MEMORY - quietly add wave -group S-MEMORY /u_0/u_1/u_0/* - - quietly add wave -divider T-MEMORY - quietly add wave -group T-MEMORY /u_0/u_1/u_1/* - - quietly add wave -divider Y-MEMORY - quietly add wave -group Y-MEMORY /u_0/u_1/u_2/* - - quietly add wave -divider BIAS-MEMORY - quietly add wave -group BIAS-MEMORY /u_0/u_1/u_3/* - - quietly add wave -divider W-MEMORY - quietly add wave -group W-MEMORY /u_0/u_1/u_4/* - - #quietly add wave -r /* - -#} - -run -all -quietly wave zoomfull -update - -#U_0/U_0/U_0 -coverage exclude -du p0300_m00022_s_v02_cal_y_fsm -ftrans current_state S01->S00 \ - S02->S00 \ - S03->S00 \ - S04->S00 \ - S05->S00 \ - S06->S00 \ - S07->S00 \ - S08->S00 \ - S09->S00 \ - S10->S00 \ - S11->S00 \ - S12->S00 \ - S13->S00 \ - S14->S00 \ - S15->S00 \ - S16->S00 - -#U_0/U_0/U_1 -coverage exclude -du p0300_m00034_s_v01_for_loop_memwj_fsm -ftrans current_state S01->S00 \ - S02->S00 \ - S03->S00 \ - S04->S00 \ - S05->S00 \ - S06->S00 - -#U_0/U_0/U_2 -coverage exclude -du p0300_m00033_s_v01_for_loop_memwi_fsm -ftrans current_state S01->S00 \ - S02->S00 \ - S03->S00 \ - S04->S00 \ - S05->S00 \ - S06->S00 - -#U_0/U_0/U_8 -coverage exclude -du p0300_m00023_s_v02_cal_w_fsm -ftrans current_state S01->S00 \ - S02->S00 \ - S03->S00 \ - S04->S00 \ - S05->S00 \ - S06->S00 \ - S07->S00 \ - S08->S00 \ - S09->S00 \ - S10->S00 \ - S11->S00 \ - S12->S00 \ - S13->S00 \ - S14->S00 \ - S15->S00 \ - S16->S00 - -#U_0/U_0/U_9 -coverage exclude -du p0300_m00025_s_v02_init_fsm -ftrans current_state S01->S00 \ - S02->S00 \ - S03->S00 \ - S04->S00 \ - S05->S00 \ - S06->S00 \ - S07->S00 \ - S08->S00 - -#U_0/U_0/U_10 -coverage exclude -du p0300_m00024_s_v02_test_fsm -ftrans current_state S01->S00 \ - S02->S00 \ - S03->S00 \ - S04->S00 \ - S05->S00 \ - S06->S00 \ - S07->S00 \ - S08->S00 \ - S09->S00 \ - S10->S00 \ - S11->S00 \ - S12->S00 \ - S13->S00 \ - S14->S00 \ - S15->S00 \ - S16->S00 \ - S17->S00 - -#U_0/U_0/U_11 -coverage exclude -du p0300_m00026_s_v02_rd_wr_fsm -ftrans current_state S01->S00 \ - S02->S00 \ - S12->S00 \ - S22->S00 \ - S32->S00 \ - S42->S00 \ - S03->S00 \ - S04->S00 - -#U_0/U_0/U_12 -coverage exclude -du p0300_m00027_s_v01_train_fsm -ftrans current_state S01->S00 \ - S02->S00 \ - S03->S00 \ - S04->S00 \ - S05->S00 \ - S06->S00 \ - S07->S00 \ - S08->S00 \ - S09->S00 \ - S10->S00 \ - S11->S00 \ - S12->S00 \ - S13->S00 - -#U_0/U_0/U_13 -coverage exclude -du p0300_m00028_s_v02_latency_fsm -ftrans current_state S01->S00 \ - S02->S00 \ - S03->S00 \ - S04->S00 \ - S05->S00 \ - S06->S00 \ - S07->S00 \ - S08->S00 \ - S09->S00 \ - S10->S00 \ - S11->S00 \ - SRDY->S00 \ - SERR->S00 - -#U_0/U_0/U_14 -coverage exclude -du p0300_m00021_s_v03_wishbone_fsm -ftrans current_state S01->S00 \ - S01a->S00 \ - S02->S00 \ - S03->S00 \ - S04->S00 \ - S05->S00 \ - S06->S00 \ - S07->S00 \ - S08->S00 \ - S09->S00 \ - S10->S00 \ - S11->S00 \ - S12->S00 \ - S13->S00 \ - S14->S00 \ - S15->S00 \ - S16->S00 \ - S17->S00 \ - S18->S00 \ - S19->S00 \ - S20->S00 \ - S21->S00 \ - S22->S00 \ - S23->S00 \ - S24->S00 \ - S25->S00 \ - S26->S00 \ - S27->S00 \ - S28->S00 \ - S29->S00 \ - S30->S00 \ - S31->S00 \ - S32->S00 \ - S33->S00 \ - S34->S00 \ - S35->S00 \ - S36->S00 \ - S37->S00 \ - S38->S00 \ - S39->S00 \ - S40->S00 \ - S41->S00 \ - S42->S00 \ - S43->S00 \ - S44->S00 \ - S45->S00 \ - S46->S00 \ - S47->S00 \ - S48->S00 - Index: trunk/neural_net_perceptron/sim/answer.txt =================================================================== --- trunk/neural_net_perceptron/sim/answer.txt (revision 8) +++ trunk/neural_net_perceptron/sim/answer.txt (nonexistent) @@ -1,64 +0,0 @@ -0,00000004,1,00000000,1,0000001C,-1 -1,0000000E,1,0000000E,-1,0000000E,-1 -2,00000006,-1,0000000E,1,0000000E,-1 -3,00000004,1,00000000,1,00000000,1 -4,0000000E,1,0000000E,-1,0000002A,-1 -5,00000018,1,0000001C,-1,0000001C,-1 -6,00000004,1,00000000,1,0000001C,-1 -7,0000000E,1,0000000E,-1,0000000E,-1 -8,00000006,-1,0000000E,1,0000000E,-1 -9,00000004,1,00000000,1,00000000,1 -10,00000010,-1,0000001C,1,00000000,1 -11,00000006,-1,0000000E,1,0000000E,1 -12,00000004,1,00000000,1,0000001C,-1 -13,0000000E,1,0000000E,-1,0000000E,-1 -14,00000006,-1,0000000E,1,0000000E,-1 -15,00000004,1,00000000,1,00000000,1 -16,0000000E,1,0000000E,-1,0000000E,-1 -17,00000018,1,0000001C,-1,00000000,1 -18,00000004,1,00000000,1,00000000,1 -19,0000000E,1,0000000E,-1,0000000E,1 -20,00000018,1,0000001C,-1,0000001C,-1 -21,00000022,1,0000002A,-1,0000000E,-1 -22,0000000E,1,0000000E,-1,0000000E,-1 -23,00000018,1,0000001C,-1,00000000,1 -24,00000004,1,00000000,1,00000000,1 -25,0000000E,1,0000000E,-1,0000000E,1 -26,00000006,-1,0000000E,1,0000000E,1 -27,00000004,1,00000000,1,0000001C,1 -28,0000000E,1,0000000E,-1,0000000E,-1 -29,00000018,1,0000001C,-1,00000000,1 -30,00000004,1,00000000,1,00000000,1 -31,0000000E,1,0000000E,-1,0000000E,1 -32,0000000E,-1,0000000E,1,0000000E,-1 -33,00000004,-1,00000000,1,00000000,1 -34,00000018,-1,0000001C,1,00000000,1 -35,0000000E,-1,0000000E,1,0000000E,1 -36,00000004,-1,00000000,1,0000001C,-1 -37,00000006,1,0000000E,-1,0000000E,-1 -38,0000000E,-1,0000000E,1,0000000E,-1 -39,00000004,-1,00000000,1,00000000,1 -40,00000018,-1,0000001C,1,00000000,1 -41,0000000E,-1,0000000E,1,0000000E,1 -42,00000022,-1,0000002A,1,0000000E,1 -43,00000018,-1,0000001C,1,0000001C,1 -44,0000000E,-1,0000000E,1,0000000E,-1 -45,00000004,-1,00000000,1,00000000,1 -46,00000018,-1,0000001C,1,00000000,1 -47,0000000E,-1,0000000E,1,0000000E,1 -48,00000004,-1,00000000,1,00000000,1 -49,00000006,1,0000000E,-1,0000000E,1 -50,0000000E,-1,0000000E,1,0000000E,1 -51,00000004,-1,00000000,1,0000001C,1 -52,00000006,1,0000000E,-1,0000000E,-1 -53,00000010,1,0000001C,-1,00000000,1 -54,00000004,-1,00000000,1,00000000,1 -55,00000006,1,0000000E,-1,0000000E,1 -56,0000000E,-1,0000000E,1,0000000E,1 -57,00000004,-1,00000000,1,0000001C,1 -58,00000018,-1,0000001C,1,0000001C,1 -59,0000000E,-1,0000000E,1,0000002A,1 -60,00000004,-1,00000000,1,00000000,1 -61,00000006,1,0000000E,-1,0000000E,1 -62,0000000E,-1,0000000E,1,0000000E,1 -63,00000004,-1,00000000,1,0000001C,1 Index: trunk/neural_net_perceptron/sim/test.txt =================================================================== --- trunk/neural_net_perceptron/sim/test.txt (revision 8) +++ trunk/neural_net_perceptron/sim/test.txt (nonexistent) @@ -1,640 +0,0 @@ --- Pattern Number: 0 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -00000000000000000000000000000100 00000000000000000000000000000000 11111111111111111111111111100100 --- Pattern Number: 1 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -00000000000000000000000000001110 11111111111111111111111111110010 11111111111111111111111111110010 --- Pattern Number: 2 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111010 00000000000000000000000000001110 11111111111111111111111111110010 --- Pattern Number: 3 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -00000000000000000000000000000100 00000000000000000000000000000000 00000000000000000000000000000000 --- Pattern Number: 4 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -00000000000000000000000000001110 11111111111111111111111111110010 11111111111111111111111111010110 --- Pattern Number: 5 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -00000000000000000000000000011000 11111111111111111111111111100100 11111111111111111111111111100100 --- Pattern Number: 6 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -00000000000000000000000000000100 00000000000000000000000000000000 11111111111111111111111111100100 --- Pattern Number: 7 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -00000000000000000000000000001110 11111111111111111111111111110010 11111111111111111111111111110010 --- Pattern Number: 8 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111010 00000000000000000000000000001110 11111111111111111111111111110010 --- Pattern Number: 9 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -00000000000000000000000000000100 00000000000000000000000000000000 00000000000000000000000000000000 --- Pattern Number: 10 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111110000 00000000000000000000000000011100 00000000000000000000000000000000 --- Pattern Number: 11 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111010 00000000000000000000000000001110 00000000000000000000000000001110 --- Pattern Number: 12 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -00000000000000000000000000000100 00000000000000000000000000000000 11111111111111111111111111100100 --- Pattern Number: 13 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -00000000000000000000000000001110 11111111111111111111111111110010 11111111111111111111111111110010 --- Pattern Number: 14 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111010 00000000000000000000000000001110 11111111111111111111111111110010 --- Pattern Number: 15 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -00000000000000000000000000000100 00000000000000000000000000000000 00000000000000000000000000000000 --- Pattern Number: 16 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -00000000000000000000000000001110 11111111111111111111111111110010 11111111111111111111111111110010 --- Pattern Number: 17 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -00000000000000000000000000011000 11111111111111111111111111100100 00000000000000000000000000000000 --- Pattern Number: 18 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -00000000000000000000000000000100 00000000000000000000000000000000 00000000000000000000000000000000 --- Pattern Number: 19 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -00000000000000000000000000001110 11111111111111111111111111110010 00000000000000000000000000001110 --- Pattern Number: 20 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -00000000000000000000000000011000 11111111111111111111111111100100 11111111111111111111111111100100 --- Pattern Number: 21 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -00000000000000000000000000100010 11111111111111111111111111010110 11111111111111111111111111110010 --- Pattern Number: 22 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -00000000000000000000000000001110 11111111111111111111111111110010 11111111111111111111111111110010 --- Pattern Number: 23 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -00000000000000000000000000011000 11111111111111111111111111100100 00000000000000000000000000000000 --- Pattern Number: 24 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -00000000000000000000000000000100 00000000000000000000000000000000 00000000000000000000000000000000 --- Pattern Number: 25 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -00000000000000000000000000001110 11111111111111111111111111110010 00000000000000000000000000001110 --- Pattern Number: 26 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111010 00000000000000000000000000001110 00000000000000000000000000001110 --- Pattern Number: 27 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -00000000000000000000000000000100 00000000000000000000000000000000 00000000000000000000000000011100 --- Pattern Number: 28 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -00000000000000000000000000001110 11111111111111111111111111110010 11111111111111111111111111110010 --- Pattern Number: 29 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -00000000000000000000000000011000 11111111111111111111111111100100 00000000000000000000000000000000 --- Pattern Number: 30 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -00000000000000000000000000000100 00000000000000000000000000000000 00000000000000000000000000000000 --- Pattern Number: 31 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -00000000000000000000000000001110 11111111111111111111111111110010 00000000000000000000000000001110 --- Pattern Number: 32 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111110010 00000000000000000000000000001110 11111111111111111111111111110010 --- Pattern Number: 33 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111100 00000000000000000000000000000000 00000000000000000000000000000000 --- Pattern Number: 34 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111101000 00000000000000000000000000011100 00000000000000000000000000000000 --- Pattern Number: 35 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111110010 00000000000000000000000000001110 00000000000000000000000000001110 --- Pattern Number: 36 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111100 00000000000000000000000000000000 11111111111111111111111111100100 --- Pattern Number: 37 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -00000000000000000000000000000110 11111111111111111111111111110010 11111111111111111111111111110010 --- Pattern Number: 38 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111110010 00000000000000000000000000001110 11111111111111111111111111110010 --- Pattern Number: 39 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111100 00000000000000000000000000000000 00000000000000000000000000000000 --- Pattern Number: 40 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111101000 00000000000000000000000000011100 00000000000000000000000000000000 --- Pattern Number: 41 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111110010 00000000000000000000000000001110 00000000000000000000000000001110 --- Pattern Number: 42 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111011110 00000000000000000000000000101010 00000000000000000000000000001110 --- Pattern Number: 43 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111101000 00000000000000000000000000011100 00000000000000000000000000011100 --- Pattern Number: 44 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111110010 00000000000000000000000000001110 11111111111111111111111111110010 --- Pattern Number: 45 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111100 00000000000000000000000000000000 00000000000000000000000000000000 --- Pattern Number: 46 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111101000 00000000000000000000000000011100 00000000000000000000000000000000 --- Pattern Number: 47 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111110010 00000000000000000000000000001110 00000000000000000000000000001110 --- Pattern Number: 48 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111100 00000000000000000000000000000000 00000000000000000000000000000000 --- Pattern Number: 49 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -00000000000000000000000000000110 11111111111111111111111111110010 00000000000000000000000000001110 --- Pattern Number: 50 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111110010 00000000000000000000000000001110 00000000000000000000000000001110 --- Pattern Number: 51 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111100 00000000000000000000000000000000 00000000000000000000000000011100 --- Pattern Number: 52 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -00000000000000000000000000000110 11111111111111111111111111110010 11111111111111111111111111110010 --- Pattern Number: 53 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -00000000000000000000000000010000 11111111111111111111111111100100 00000000000000000000000000000000 --- Pattern Number: 54 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111100 00000000000000000000000000000000 00000000000000000000000000000000 --- Pattern Number: 55 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -00000000000000000000000000000110 11111111111111111111111111110010 00000000000000000000000000001110 --- Pattern Number: 56 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111110010 00000000000000000000000000001110 00000000000000000000000000001110 --- Pattern Number: 57 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111100 00000000000000000000000000000000 00000000000000000000000000011100 --- Pattern Number: 58 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111101000 00000000000000000000000000011100 00000000000000000000000000011100 --- Pattern Number: 59 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111110010 00000000000000000000000000001110 00000000000000000000000000101010 --- Pattern Number: 60 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111100 00000000000000000000000000000000 00000000000000000000000000000000 --- Pattern Number: 61 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -00000000000000000000000000000110 11111111111111111111111111110010 00000000000000000000000000001110 --- Pattern Number: 62 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111110010 00000000000000000000000000001110 00000000000000000000000000001110 --- Pattern Number: 63 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111100 00000000000000000000000000000000 00000000000000000000000000011100 Index: trunk/neural_net_perceptron/sim/bias_memory_up_down.txt =================================================================== --- trunk/neural_net_perceptron/sim/bias_memory_up_down.txt (revision 8) +++ trunk/neural_net_perceptron/sim/bias_memory_up_down.txt (nonexistent) @@ -1,4 +0,0 @@ --- BIAS-Memory content for UP/DOWN/STOP pattern -00000000000000000000000000000110 -00000000000000000000000000001000 -00000000000000000000000000001000 Index: trunk/neural_net_perceptron/sim/outimgvhdl.txt =================================================================== --- trunk/neural_net_perceptron/sim/outimgvhdl.txt (revision 8) +++ trunk/neural_net_perceptron/sim/outimgvhdl.txt (nonexistent) @@ -1,768 +0,0 @@ --- Pattern Number: 0 000000 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 1 000001 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 2 000010 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 3 000011 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 4 000100 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 5 000101 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 6 000110 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 7 000111 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 8 001000 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 9 001001 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 10 001010 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 11 001011 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 12 001100 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 13 001101 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 14 001110 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 15 001111 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 16 010000 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 17 010001 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 18 010010 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 19 010011 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 20 010100 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 21 010101 UP --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 22 010110 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 23 010111 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 24 011000 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 25 011001 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 26 011010 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 27 011011 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 28 011100 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 29 011101 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 30 011110 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 31 011111 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 32 100000 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 33 100001 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 34 100010 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 35 100011 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 36 100100 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 37 100101 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 38 100110 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 39 100111 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 40 101000 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 41 101001 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 42 101010 DOWN --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 --- Pattern Number: 43 101011 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 44 101100 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 45 101101 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 46 101110 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 47 101111 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 48 110000 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 49 110001 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 50 110010 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 51 110011 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 52 110100 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 53 110101 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 54 110110 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 55 110111 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 56 111000 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 57 111001 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 58 111010 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 59 111011 STOP --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 --- Pattern Number: 60 111100 --- Components -11111111111111111111111111111111 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 61 111101 --- Components -00000000000000000000000000000001 -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 62 111110 --- Components -11111111111111111111111111111111 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 --- Pattern Number: 63 111111 --- Components -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 -00000000000000000000000000000001 --- Answer -11111111111111111111111111111111 -11111111111111111111111111111111 -11111111111111111111111111111111 Index: trunk/neural_net_perceptron/sim/02_sim_args.txt =================================================================== --- trunk/neural_net_perceptron/sim/02_sim_args.txt (revision 8) +++ trunk/neural_net_perceptron/sim/02_sim_args.txt (nonexistent) @@ -1 +0,0 @@ - -do 04_tb_avm_instruction_gen_v04_public.do \ No newline at end of file Index: trunk/neural_net_perceptron/sim/w_memory_up_down.txt =================================================================== --- trunk/neural_net_perceptron/sim/w_memory_up_down.txt (revision 8) +++ trunk/neural_net_perceptron/sim/w_memory_up_down.txt (nonexistent) @@ -1,19 +0,0 @@ --- W-Memory content for UP/DOWN/STOP pattern -00000000000000000000000000000101 -11111111111111111111111111111011 -00000000000000000000000000000101 -11111111111111111111111111111011 -00000000000000000000000000000101 -11111111111111111111111111110111 -11111111111111111111111111111001 -00000000000000000000000000000111 -11111111111111111111111111111001 -00000000000000000000000000000111 -11111111111111111111111111111001 -00000000000000000000000000000111 -00000000000000000000000000000111 -00000000000000000000000000000111 -11111111111111111111111111111001 -00000000000000000000000000000111 -00000000000000000000000000000111 -00000000000000000000000000000111 Index: trunk/neural_net_perceptron/sim/modelsim.ini =================================================================== --- trunk/neural_net_perceptron/sim/modelsim.ini (revision 8) +++ trunk/neural_net_perceptron/sim/modelsim.ini (nonexistent) @@ -1,2191 +0,0 @@ -[Version] -INIVersion = "2021.1" - -; Copyright 1991-2021 Mentor Graphics Corporation -; -; All Rights Reserved. -; -; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF -; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. -; - -[Library] -others = $MODEL_TECH/../modelsim.ini -; -; VITAL concerns: -; -; The library ieee contains (among other packages) the packages of the -; VITAL 2000 standard. When a design uses VITAL 2000 exclusively, it should use -; the physical library ieee (recommended), or use the physical library -; vital2000, but not both. The design can use logical library ieee and/or -; vital2000 as long as each of these maps to the same physical library, either -; ieee or vital2000. -; -; A design using the 1995 version of the VITAL packages, whether or not -; it also uses the 2000 version of the VITAL packages, must have logical library -; name ieee mapped to physical library vital1995. (A design cannot use library -; vital1995 directly because some packages in this library use logical name ieee -; when referring to the other packages in the library.) The design source -; should use logical name ieee when referring to any packages there except the -; VITAL 2000 packages. Any VITAL 2000 present in the design must use logical -; name vital2000 (mapped to physical library vital2000) to refer to those -; packages. -; ieee = $MODEL_TECH/../vital1995 -; -; For compatiblity with previous releases, logical library name vital2000 maps -; to library vital2000 (a different library than library ieee, containing the -; same packages). -; A design should not reference VITAL from both the ieee library and the -; vital2000 library because the vital packages are effectively different. -; A design that references both the ieee and vital2000 libraries must have -; both logical names ieee and vital2000 mapped to the same library, either of -; these: -; $MODEL_TECH/../ieee -; $MODEL_TECH/../vital2000 -; - -; added mapping for ADMS - -;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release -;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release -;mvc_lib = $MODEL_TECH/../mvc_lib - -; Automatically perform logical->physical mapping for physical libraries that -; appear in -L/-Lf options with filesystem path delimiters (e.g. '.' or '/'). -; The tail of the filesystem path name is chosen as the logical library name. -; For example, in the command "vopt -L ./path/to/lib1 -o opttop top", -; vopt automatically performs the mapping "lib1 -> ./path/to/lib1". -; See the User Manual for more details. -; -; AutoLibMapping = 0 - -work = ./work -[DefineOptionset] -; Define optionset entries for the various compilers, vmake, and vsim. -; These option sets can be used with the "-optionset " syntax. -; i.e. -; vlog -optionset COMPILEDEBUG top.sv -; vsim -optionset UVMDEBUG my_top -; -; Following are some useful examples. - -; define a vsim optionset for uvm debugging -UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop - -; define a vopt optionset for debugging -VOPTDEBUG = +acc -debugdb - -[encryption] -; For vencrypt and vhencrypt. - -; Controls whether to encrypt whole files by ignoring all protect directives -; (except "viewport" and "interface_viewport") that are present in the input. -; The default is 0, use embedded protect directives to control the encryption. -; Set this to 1 to encrypt whole files by ignoring embedded protect directives. -; wholefile = 0 - -; Sets the data_method to use for the symmetric session key. -; The session key is a symmetric key that is randomly generated for each -; protected region (envelope) and is the heart of all encryption. This is used -; to set the length of the session key to generate and use when encrypting the -; HDL text. Supported values are aes128, aes192, and aes256. -; data_method = aes128 - -; The following 2 are for specifying an IEEE Std. 1735 Version 2 (V2) encryption -; "recipe" comprising an optional common block, at least one tool block (which -; contains the key public key), and the text to be encrypted. The common block -; and any of the tool blocks may contain rights in the form of the "control" -; directive. The text to be encrypted is specified either by setting -; "wholefile" to 1 or by embedding protect "begin" and "end" directives in -; the input HDL files. - -; Common recipe specification file. This file is optional. Its presence will -; require at least one "toolblock" to be specified. -; Directives such as "author" "author_info" and "data_method", -; as well as the common block license specification, go in this file. -; common = - -; Tool block specification recipe(s). Public key file with optional tool block -; file name. May be multiply-defined; at least one tool block is required if -; a recipe is being specified. -; Key file is a file name with no extension (.deprecated or .active will be -; supplied by the encryption tool). -; Rights file name is optional. -; toolblock = [,]{:[,]} - -; Location of directory containing recipe files. -; The default location is in the product installation directory. -; keyring = $MODEL_TECH/../keyring - -; Enable encryption statistics. Specify one or more arguments: -; [all,none,time,cmd,msg,perf,verbose,list] -; Add '-' to disable specific statistics. Default is [cmd,msg]. -Stats = cmd,msg - -[vcom] -; VHDL93 variable selects language version as the default. -; Default is VHDL-2002. -; Value of 0 or 1987 for VHDL-1987. -; Value of 1 or 1993 for VHDL-1993. -; Default or value of 2 or 2002 for VHDL-2002. -; Value of 3 or 2008 for VHDL-2008 -; Value of 4 or ams99 for VHDL-AMS-1999 -; Value of 5 or ams07 for VHDL-AMS-2007 -VHDL93 = 2002 - -; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off. -; ignoreStandardRealVector = 1 - -; Show source line containing error. Default is off. -; Show_source = 1 - -; Turn off unbound-component warnings. Default is on. -; Show_Warning1 = 0 - -; Turn off process-without-a-wait-statement warnings. Default is on. -; Show_Warning2 = 0 - -; Turn off null-range warnings. Default is on. -; Show_Warning3 = 0 - -; Turn off no-space-in-time-literal warnings. Default is on. -; Show_Warning4 = 0 - -; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. -; Show_Warning5 = 0 - -; Turn off optimization for IEEE std_logic_1164 package. Default is on. -; Optimize_1164 = 0 - -; Enable compiler statistics. Specify one or more arguments: -; [all,none,time,cmd,msg,perf,verbose,list] -; Add '-' to disable specific statistics. Default is [time,cmd,msg]. -; Stats = time,cmd,msg - -; Turn on resolving of ambiguous function overloading in favor of the -; "explicit" function declaration (not the one automatically created by -; the compiler for each type declaration). Default is off. -; The .ini file has Explicit enabled so that std_logic_signed/unsigned -; will match the behavior of synthesis tools. -Explicit = 1 - -; Turn off acceleration of the VITAL packages. Default is to accelerate. -; NoVital = 1 - -; Turn off VITAL compliance checking. Default is checking on. -; NoVitalCheck = 1 - -; Ignore VITAL compliance checking errors. Default is to not ignore. -; IgnoreVitalErrors = 1 - -; Turn off VITAL compliance checking warnings. Default is to show warnings. -; Show_VitalChecksWarnings = 0 - -; Turn off PSL assertion warning messages. Default is to show warnings. -; Show_PslChecksWarnings = 0 - -; Enable parsing of embedded PSL assertions. Default is enabled. -; EmbeddedPsl = 0 - -; Keep silent about case statement static warnings. -; Default is to give a warning. -; NoCaseStaticError = 1 - -; Keep silent about warnings caused by aggregates that are not locally static. -; Default is to give a warning. -; NoOthersStaticError = 1 - -; Treat as errors: -; case statement static warnings -; warnings caused by aggregates that are not locally static -; Overrides NoCaseStaticError, NoOthersStaticError settings. -; PedanticErrors = 1 - -; Turn off inclusion of debugging info within design units. -; Default is to include debugging info. -; NoDebug = 1 - -; Turn off "Loading..." messages. Default is messages on. -; Quiet = 1 - -; Turn on some limited synthesis rule compliance checking. Checks only: -; -- signals used (read) by a process must be in the sensitivity list -; CheckSynthesis = 1 - -; Activate optimizations on expressions that do not involve signals, -; waits, or function/procedure/task invocations. Default is off. -; ScalarOpts = 1 - -; Turns on lint-style checking. -; Show_Lint = 1 - -; Require the user to specify a configuration for all bindings, -; and do not generate a compile time default binding for the -; component. This will result in an elaboration error of -; 'component not bound' if the user fails to do so. Avoids the rare -; issue of a false dependency upon the unused default binding. -; RequireConfigForAllDefaultBinding = 1 - -; Perform default binding at compile time. -; Default is to do default binding at load time. -; BindAtCompile = 1; - -; Inhibit range checking on subscripts of arrays. Range checking on -; scalars defined with subtypes is inhibited by default. -; NoIndexCheck = 1 - -; Inhibit range checks on all (implicit and explicit) assignments to -; scalar objects defined with subtypes. -; NoRangeCheck = 1 - -; Set the prefix to be honored for synthesis/coverage pragma recognition. -; Default is "". -; AddPragmaPrefix = "" - -; Ignore synthesis and coverage pragmas with this prefix. -; Default is "". -; IgnorePragmaPrefix = "" - -; Turn on code coverage in VHDL design units. Default is off. -; Coverage = sbceft - -; Turn off code coverage in VHDL subprograms. Default is on. -; CoverSub = 0 - -; Automatically exclude VHDL case statement OTHERS choice branches. -; This includes OTHERS choices in selected signal assigment statements. -; Default is to not exclude. -; CoverExcludeDefault = 1 - -; Control compiler and VOPT optimizations that are allowed when -; code coverage is on. Refer to the comment for this in the [vlog] area. -; CoverOpt = 3 - -; Turn on or off clkOpt optimization for code coverage. Default is on. -; CoverClkOpt = 1 - -; Turn on or off clkOpt optimization builtins for code coverage. Default is on. -; CoverClkOptBuiltins = 0 - -; Inform code coverage optimizations to respect VHDL 'H' and 'L' -; values on signals in conditions and expressions, and to not automatically -; convert them to '1' and '0'. Default is to not convert. -; CoverRespectHandL = 0 - -; Increase or decrease the maximum number of rows allowed in a UDP table -; implementing a VHDL condition coverage or expression coverage expression. -; More rows leads to a longer compile time, but more expressions covered. -; CoverMaxUDPRows = 192 - -; Increase or decrease the maximum number of input patterns that are present -; in FEC table. This leads to a longer compile time with more expressions -; covered with FEC metric. -; CoverMaxFECRows = 192 - -; Increase or decrease the limit on the size of expressions and conditions -; considered for expression and condition coverages. Higher FecUdpEffort leads -; to higher compile, optimize and simulation time, but more expressions and -; conditions are considered for coverage in the design. FecUdpEffort can -; be set to a number ranging from 1 (low) to 3 (high), defined as: -; 1 - (low) Only small expressions and conditions considered for coverage. -; 2 - (medium) Bigger expressions and conditions considered for coverage. -; 3 - (high) Very large expressions and conditions considered for coverage. -; The default setting is 1 (low). -; FecUdpEffort = 1 - -; Enable or disable Focused Expression Coverage analysis for conditions and -; expressions. Focused Expression Coverage data is provided by default when -; expression and/or condition coverage is active. -; CoverFEC = 0 - -; Enable or disable UDP Coverage analysis for conditions and expressions. -; UDP Coverage data is disabled by default when expression and/or condition -; coverage is active. -; CoverUDP = 1 - -; Enable or disable Rapid Expression Coverage mode for conditions and expressions. -; Disabling this would convert non-masking conditions in FEC tables to matching -; input patterns. -; CoverREC = 1 - -; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions -; for expression/condition coverage. -; NOTE: Enabling this may have a negative impact on simulation performance. -; CoverExpandReductionPrefix = 0 - -; Enable or disable short circuit evaluation of conditions and expressions when -; condition or expression coverage is active. Short circuit evaluation is enabled -; by default. -; CoverShortCircuit = 0 - -; Enable code coverage reporting of code that has been optimized away. -; The default is not to report. -; CoverReportCancelled = 1 - -; Enable deglitching of code coverage in combinatorial, non-clocked, processes. -; Default is no deglitching. -; CoverDeglitchOn = 1 - -; Control the code coverage deglitching period. A period of 0, eliminates delta -; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a -; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". -; CoverDeglitchPeriod = 0 - -; Use this directory for compiler temporary files instead of "work/_temp" -; CompilerTempDir = /tmp - -; Set this to cause the compilers to force data to be committed to disk -; when the files are closed. -; SyncCompilerFiles = 1 - -; Add VHDL-AMS declarations to package STANDARD -; Default is not to add -; AmsStandard = 1 - -; Range and length checking will be performed on array indices and discrete -; ranges, and when violations are found within subprograms, errors will be -; reported. Default is to issue warnings for violations, because subprograms -; may not be invoked. -; NoDeferSubpgmCheck = 0 - -; Turn ON detection of FSMs having single bit current state variable. -; FsmSingle = 1 - -; Turn off reset state transitions in FSM. -; FsmResetTrans = 0 - -; Turn ON detection of FSM Implicit Transitions. -; FsmImplicitTrans = 1 - -; Controls whether or not to show immediate assertions with constant expressions -; in GUI/report/UCDB etc. By default, immediate assertions with constant -; expressions are shown in GUI/report/UCDB etc. This does not affect -; evaluation of immediate assertions. -; ShowConstantImmediateAsserts = 0 - -; Controls how VHDL basic identifiers are stored with the design unit. -; Does not make the language case-sensitive, affects only how declarations -; declared with basic identifiers have their names stored and printed -; (in the GUI, examine, etc.). -; Default is to preserve the case as originally depicted in the VHDL source. -; Value of 0 indicates to change all basic identifiers to lower case. -; PreserveCase = 0 - -; For Configuration Declarations, controls the effect that USE clauses have -; on visibility inside the configuration items being configured. If 1 -; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance, -; extend the visibility of objects made visible through USE clauses into nested -; component configurations. -; OldVHDLConfigurationVisibility = 0 - -; Allows VHDL configuration declarations to be in a different library from -; the corresponding configured entity. Default is to not allow this for -; stricter LRM-compliance. -; SeparateConfigLibrary = 1; - -; Determine how mode OUT subprogram parameters of type array and record are treated. -; If 0 (the default), then only VHDL 2008 will do this initialization. -; If 1, always initialize the mode OUT parameter to its default value. -; If 2, do not initialize the mode OUT out parameter. -; Note that prior to release 10.1, all language versions did not initialize mode -; OUT array and record type parameters, unless overridden here via this mechanism. -; In release 10.1 and later, only files compiled with VHDL 2008 will cause this -; initialization, unless overridden here. -; InitOutCompositeParam = 0 - -; Generate symbols debugging database in only some special cases to save on -; the number of files in the library. For other design-units, this database is -; generated on-demand in vsim. -; Default is to to generate debugging database for all design-units. -; SmartDbgSym = 1 - -; Enable or disable automatic creation of missing libraries. -; Default is 1 (enabled) -; CreateLib = 1 - -; Describe compilation options according to matching file patterns. -; File pattern * matches all printing characters other than '/'. -; File pattern **/x matches all paths containing file/directory x. -; File pattern x/** matches all paths beginning at directory x. -; FileOptMap = (**/*.vhd => -2008); - -; Describe library targets of compilation according to matching file patterns. -; LibMap = (**/*.vhd => work); - -[vlog] -; Turn off inclusion of debugging info within design units. -; Default is to include debugging info. -; NoDebug = 1 - -; Turn off "Loading..." messages. Default is messages on. -; Quiet = 1 - -; Turn on Verilog hazard checking (order-dependent accessing of global vars). -; Default is off. -; Hazard = 1 - -; Turn on converting regular Verilog identifiers to uppercase. Allows case -; insensitivity for module names. Default is no conversion. -; UpCase = 1 - -; Activate optimizations on expressions that do not involve signals, -; waits, or function/procedure/task invocations. Default is off. -; ScalarOpts = 1 - -; Turns on lint-style checking. -; Show_Lint = 1 - -; Show source line containing error. Default is off. -; Show_source = 1 - -; Turn on bad option warning. Default is off. -; Show_BadOptionWarning = 1 - -; Revert back to IEEE 1364-1995 syntax, default is 0 (off). -; vlog95compat = 1 - -; Turn off PSL warning messages. Default is to show warnings. -; Show_PslChecksWarnings = 0 - -; Enable parsing of embedded PSL assertions. Default is enabled. -; EmbeddedPsl = 0 - -; Enable compiler statistics. Specify one or more arguments: -; [all,none,time,cmd,msg,perf,verbose,list,kb] -; Add '-' to disable specific statistics. Default is [time,cmd,msg]. -; Stats = time,cmd,msg - -; Set the threshold for automatically identifying sparse Verilog memories. -; A memory with total size in bytes equal to or more than the sparse memory -; threshold gets marked as sparse automatically, unless specified otherwise -; in source code or by the +nosparse commandline option of vlog or vopt. -; The default is 1M. (i.e. memories with total size equal -; to or greater than 1Mb are marked as sparse) -; SparseMemThreshold = 1048576 - -; Set the prefix to be honored for synthesis and coverage pragma recognition. -; Default is "". -; AddPragmaPrefix = "" - -; Ignore synthesis and coverage pragmas with this prefix. -; Default is "". -; IgnorePragmaPrefix = "" - -; Set the option to treat all files specified in a vlog invocation as a -; single compilation unit. The default value is set to 0 which will treat -; each file as a separate compilation unit as specified in the P1800 draft standard. -; MultiFileCompilationUnit = 1 - -; Turn on code coverage in Verilog design units. Default is off. -; Coverage = sbceft - -; Automatically exclude Verilog case statement default branches. -; Default is to not automatically exclude defaults. -; CoverExcludeDefault = 1 - -; Increase or decrease the maximum number of rows allowed in a UDP table -; implementing a VHDL condition coverage or expression coverage expression. -; More rows leads to a longer compile time, but more expressions covered. -; CoverMaxUDPRows = 192 - -; Increase or decrease the maximum number of input patterns that are present -; in FEC table. This leads to a longer compile time with more expressions -; covered with FEC metric. -; CoverMaxFECRows = 192 - -; Enable Multi Bit Expression Coverage in a Design, If design has expression with -; multi bit operands, this option enables its Expression Coverage. -; The default value is 0. -; CoverFecMultiBit = 1 - -; Increase or decrease the limit on the size of expressions and conditions -; considered for expression and condition coverages. Higher FecUdpEffort leads -; to higher compile, optimize and simulation time, but more expressions and -; conditions are considered for coverage in the design. FecUdpEffort can -; be set to a number ranging from 1 (low) to 3 (high), defined as: -; 1 - (low) Only small expressions and conditions considered for coverage. -; 2 - (medium) Bigger expressions and conditions considered for coverage. -; 3 - (high) Very large expressions and conditions considered for coverage. -; The default setting is 1 (low). -; FecUdpEffort = 1 - -; Enable or disable Focused Expression Coverage analysis for conditions and -; expressions. Focused Expression Coverage data is provided by default when -; expression and/or condition coverage is active. -; CoverFEC = 0 - -; Enable or disable UDP Coverage analysis for conditions and expressions. -; UDP Coverage data is disabled by default when expression and/or condition -; coverage is active. -; CoverUDP = 1 - -; Enable or disable Rapid Expression Coverage mode for conditions and expressions. -; Disabling this would convert non-masking conditions in FEC tables to matching -; input patterns. -; CoverREC = 1 - -; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions -; for expression/condition coverage. -; NOTE: Enabling this may have a negative impact on simulation performance. -; CoverExpandReductionPrefix = 0 - -; Enable or disable short circuit evaluation of conditions and expressions when -; condition or expression coverage is active. Short circuit evaluation is enabled -; by default. -; CoverShortCircuit = 0 - -; Enable deglitching of code coverage in combinatorial, non-clocked, processes. -; Default is no deglitching. -; CoverDeglitchOn = 1 - -; Control the code coverage deglitching period. A period of 0, eliminates delta -; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a -; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". -; CoverDeglitchPeriod = 0 - -; Turn on code coverage in VLOG `celldefine modules, modules containing -; specify blocks, and modules included using vlog -v and -y. Default is off. -; CoverCells = 1 - -; Enable code coverage reporting of code that has been optimized away. -; The default is not to report. -; CoverReportCancelled = 1 - -; Control compiler and VOPT optimizations that are allowed when -; code coverage is on. This is a number from 0 to 5, with the following -; meanings (the default is 3): -; 5 -- All allowable optimizations are on. -; 4 -- Turn off removing unreferenced code. -; 3 -- Turn off process, always block and if statement merging. -; 2 -- Turn off expression optimization, converting primitives -; to continuous assignments, VHDL subprogram inlining. -; and VHDL clkOpt (converting FF's to builtins). -; 1 -- Turn off continuous assignment optimizations and clock suppression. -; 0 -- Turn off Verilog module inlining and VHDL arch inlining. -; HOWEVER, if fsm coverage is turned on, optimizations will be forced to -; level 3, with also turning off converting primitives to continuous assigns. -; CoverOpt = 3 - -; Specify the override for the default value of "cross_num_print_missing" -; option for the Cross in Covergroups. If not specified then LRM default -; value of 0 (zero) is used. This is a compile time option. -; SVCrossNumPrintMissingDefault = 0 - -; Setting following to 1 would cause creation of variables which -; would represent the value of Coverpoint expressions. This is used -; in conjunction with "SVCoverpointExprVariablePrefix" option -; in the modelsim.ini -; EnableSVCoverpointExprVariable = 0 - -; Specify the override for the prefix used in forming the variable names -; which represent the Coverpoint expressions. This is used in conjunction with -; "EnableSVCoverpointExprVariable" option of the modelsim.ini -; The default prefix is "expr". -; The variable name is -; variable name => _ -; SVCoverpointExprVariablePrefix = expr - -; Override for the default value of the SystemVerilog covergroup, -; coverpoint, and cross option.goal (defined to be 100 in the LRM). -; NOTE: It does not override specific assignments in SystemVerilog -; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" -; in the [vsim] section can override this value. -; SVCovergroupGoalDefault = 100 - -; Override for the default value of the SystemVerilog covergroup, -; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) -; NOTE: It does not override specific assignments in SystemVerilog -; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" -; in the [vsim] section can override this value. -; SVCovergroupTypeGoalDefault = 100 - -; Specify the override for the default value of "strobe" option for the -; Covergroup Type. This is a compile time option which forces "strobe" to -; a user specified default value and supersedes SystemVerilog specified -; default value of '0'(zero). NOTE: This can be overriden by a runtime -; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section. -; SVCovergroupStrobeDefault = 0 - -; Specify the override for the default value of "per_instance" option for the -; Covergroup variables. This is a compile time option which forces "per_instance" -; to a user specified default value and supersedes SystemVerilog specified -; default value of '0'(zero). -; SVCovergroupPerInstanceDefault = 0 - -; Specify the override for the default value of "get_inst_coverage" option for the -; Covergroup variables. This is a compile time option which forces -; "get_inst_coverage" to a user specified default value and supersedes -; SystemVerilog specified default value of '0'(zero). -; SVCovergroupGetInstCoverageDefault = 0 - -; -; A space separated list of resource libraries that contain precompiled -; packages. The behavior is identical to using the "-L" switch. -; -; LibrarySearchPath = [ ...] -LibrarySearchPath = mtiAvm mtiRnm mtiOvm mtiUvm mtiUPF infact - -; The behavior is identical to the "-mixedansiports" switch. Default is off. -; MixedAnsiPorts = 1 - -; Enable SystemVerilog 3.1a $typeof() function. Default is off. -; EnableTypeOf = 1 - -; Only allow lower case pragmas. Default is disabled. -; AcceptLowerCasePragmaOnly = 1 - -; Set the maximum depth permitted for a recursive include file nesting. -; IncludeRecursionDepthMax = 5 - -; Turn ON detection of FSMs having single bit current state variable. -; FsmSingle = 1 - -; Turn off reset state transitions in FSM. -; FsmResetTrans = 0 - -; Turn off detections of FSMs having x-assignment. -; FsmXAssign = 0 - -; Turn ON detection of FSM Implicit Transitions. -; FsmImplicitTrans = 1 - -; List of file suffixes which will be read as SystemVerilog. White space -; in extensions can be specified with a back-slash: "\ ". Back-slashes -; can be specified with two consecutive back-slashes: "\\"; -; SvFileSuffixes = sv svp svh - -; This setting is the same as the vlog -sv command line switch. -; Enables SystemVerilog features and keywords when true (1). -; When false (0), the rules of IEEE Std 1364-2005 are followed and -; SystemVerilog keywords are ignored. -; Svlog = 0 - -; Prints attribute placed upon SV packages during package import -; when true (1). The attribute will be ignored when this -; entry is false (0). The attribute name is "mti_design_element_load_message". -; The value of this attribute is a string literal. -; Default is true (1). -; PrintSVPackageLoadingAttribute = 1 - -; Do not show immediate assertions with constant expressions in -; GUI/reports/UCDB etc. By default immediate assertions with constant -; expressions are shown in GUI/reports/UCDB etc. This does not affect -; evaluation of immediate assertions. -; ShowConstantImmediateAsserts = 0 - -; Controls if untyped parameters that are initialized with values greater -; than 2147483647 are mapped to generics of type INTEGER or ignored. -; If mapped to VHDL Integers, values greater than 2147483647 -; are mapped to negative values. -; Default is to map these parameter to generic of type INTEGER -; ForceUnsignedToVHDLInteger = 1 - -; Enable AMS wreal (wired real) extensions. Default is 0. -; WrealType = 1 - -; Controls SystemVerilog Language Extensions. These options enable -; some non-LRM compliant behavior. -; SvExtensions = [+|-][,[+|-]*] - -; Generate symbols debugging database in only some special cases to save on -; the number of files in the library. For other design-units, this database is -; generated on-demand in vsim. -; Default is to to generate debugging database for all design-units. -; SmartDbgSym = 1 - -; Controls how $unit library entries are named. Valid options are: -; "file" (generate name based on the first file on the command line) -; "du" (generate name based on first design unit following an item -; found in $unit scope) -; CUAutoName = file - -; Enable or disable automatic creation of missing libraries. -; Default is 1 (enabled) -; CreateLib = 1 - -[sccom] -; Enable use of SCV include files and library. Default is off. -; UseScv = 1 - -; Add C++ compiler options to the sccom command line by using this variable. -; CppOptions = -g - -; Use custom C++ compiler located at this path rather than the default path. -; The path should point directly at a compiler executable. -; CppPath = /usr/bin/g++ - -; Specify the compiler version from the list of support GNU compilers. -; examples 4.7.4, 5.3.0, 7.4.0 -; CppInstall = 7.4.0 - -; Enable verbose messages from sccom. Default is off. -; SccomVerbose = 1 - -; sccom logfile. Default is no logfile. -; SccomLogfile = sccom.log - -; Enable use of SC_MS include files and library. Default is off. -; UseScMs = 1 - -; Use SystemC-2.2 instead of the default SystemC-2.3. Default is off. -; Sc22Mode = 1 - -; Enable compiler statistics. Specify one or more arguments: -; [all,none,time,cmd,msg,perf,verbose,list,kb] -; Add '-' to disable specific statistics. Default is [time,cmd,msg]. -; Stats = time,cmd,msg - -; Enable or disable automatic creation of missing libraries. -; Default is 1 (enabled) -; CreateLib = 1 - -; Enable use of UVMC library. Default is off. -; UseUvmc = 1 - -[vopt] -; Turn on code coverage in vopt. Default is off. -; Coverage = sbceft - -; enable or disable param saving in UCDB. -; CoverageSaveParam = 0 - -; Control compiler optimizations that are allowed when -; code coverage is on. Refer to the comment for this in the [vlog] area. -; CoverOpt = 3 - -; Controls set of CoverConstructs that are being considered for Coverage -; Collection. -; Some of Valid options are: default,set1,set2 -; Covermode = default - -; Override all PA VOPT and VSIM commands to run simulation in Non-PA mode. -; NonPAmode = 1 - -; Controls set of HDL cover constructs that would be considered(or not considered) -; for Coverage Collection. (Default corresponds to covermode default). -; Some of Valid options are: "ca", "citf", "cifl", "tcint", "fsmqs". -; Coverconstruct = noca,nocitf,nofsmtf,nofsmds,noctes,nocicl,nocprc,nocfl,nofsmup,nocifl,nocpm,notcint,nocpkg,nocsva - -; Increase or decrease the maximum number of rows allowed in a UDP table -; implementing a VHDL condition coverage or expression coverage expression. -; More rows leads to a longer compile time, but more expressions covered. -; CoverMaxUDPRows = 192 - -; Increase or decrease the maximum number of input patterns that are present -; in FEC table. This leads to a longer compile time with more expressions -; covered with FEC metric. -; CoverMaxFECRows = 192 - -; Enable Multi Bit Expression Coverage in a Design, If design has expression with -; multi bit operands, this option enables its Expression Coverage. -; The default value is 0. -; CoverFecMultiBit = 1 - -; Increase or decrease the limit on the size of expressions and conditions -; considered for expression and condition coverages. Higher FecUdpEffort leads -; to higher compile, optimize and simulation time, but more expressions and -; conditions are considered for coverage in the design. FecUdpEffort can -; be set to a number ranging from 1 (low) to 3 (high), defined as: -; 1 - (low) Only small expressions and conditions considered for coverage. -; 2 - (medium) Bigger expressions and conditions considered for coverage. -; 3 - (high) Very large expressions and conditions considered for coverage. -; The default setting is 1 (low). -; FecUdpEffort = 1 - -; Enable code coverage reporting of code that has been optimized away. -; The default is not to report. -; CoverReportCancelled = 1 - -; Enable deglitching of code coverage in combinatorial, non-clocked, processes. -; Default is no deglitching. -; CoverDeglitchOn = 1 - -; Enable compiler statistics. Specify one or more arguments: -; [all,none,time,cmd,msg,perf,verbose,list,kb] -; Add '-' to disable specific statistics. Default is [time,cmd,msg]. -; Stats = time,cmd,msg - -; Control the code coverage deglitching period. A period of 0, eliminates delta -; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a -; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". -; CoverDeglitchPeriod = 0 - -; Do not show immediate assertions with constant expressions in -; GUI/reports/UCDB etc. By default immediate assertions with constant -; expressions are shown in GUI/reports/UCDB etc. This does not affect -; evaluation of immediate assertions. -; ShowConstantImmediateAsserts = 0 - -; Set the maximum number of iterations permitted for a generate loop. -; Restricting this permits the implementation to recognize infinite -; generate loops. -; GenerateLoopIterationMax = 100000 - -; Set the maximum depth permitted for a recursive generate instantiation. -; Restricting this permits the implementation to recognize infinite -; recursions. -; GenerateRecursionDepthMax = 200 - -; Set the number of processes created during the code generation phase. -; By default a heuristic is used to set this value. This may be set to 0 -; to disable this feature completely. -; ParallelJobs = 0 - -; Controls SystemVerilog Language Extensions. These options enable -; some non-LRM compliant behavior. -; SvExtensions = [+|-][,[+|-]*] - -; Load the specified shared objects with the RTLD_GLOBAL flag. -; This gives global visibility to all symbols in the shared objects, -; meaning that subsequently loaded shared objects can bind to symbols -; in the global shared objects. The list of shared objects should -; be whitespace delimited. This option is not supported on the -; Windows or AIX platforms. -; GlobalSharedObjectList = example1.so example2.so example3.so - -; Disable SystemVerilog elaboration system task messages -; IgnoreSVAInfo = 1 -; IgnoreSVAWarning = 1 -; IgnoreSVAError = 1 -; IgnoreSVAFatal = 1 - -; Enable or disable automatic creation of missing libraries. -; Default is 1 (enabled) -; CreateLib = 1 - -[vsim] -; vopt flow -; Set to turn on automatic optimization of a design. -; Default is on -VoptFlow = 1 - -; Simulator resolution -; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. -Resolution = ns - -; Disable certain code coverage exclusions automatically. -; Assertions and FSM are exluded from the code coverage by default -; Set AutoExclusionsDisable = fsm to enable code coverage for fsm -; Set AutoExclusionsDisable = assertions to enable code coverage for assertions -; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions -; Or specify comma or space separated list -;AutoExclusionsDisable = fsm,assertions - -; User time unit for run commands -; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the -; unit specified for Resolution. For example, if Resolution is 100ps, -; then UserTimeUnit defaults to ps. -; Should generally be set to default. -UserTimeUnit = default - -; Default run length -RunLength = 100 - -; Maximum iterations that can be run without advancing simulation time -IterationLimit = 10000000 - -; Specify libraries to be searched for precompiled modules -; LibrarySearchPath = [ ...] - -; Set XPROP assertion fail limit. Default is 5. -; Any positive integer, -1 for infinity. -; XpropAssertionLimit = 5 - -; Control PSL and Verilog Assume directives during simulation -; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts -; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts -; SimulateAssumeDirectives = 1 - -; Control the simulation of PSL and SVA -; These switches can be overridden by the vsim command line switches: -; -psl, -nopsl, -sva, -nosva. -; Set SimulatePSL = 0 to disable PSL simulation -; Set SimulatePSL = 1 to enable PSL simulation (default) -; SimulatePSL = 1 -; Set SimulateSVA = 0 to disable SVA simulation -; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) -; SimulateSVA = 1 - -; Control SVA and VHDL immediate assertion directives during simulation -; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts -; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts -; SimulateImmedAsserts = 1 - -; License feature mappings for Verilog and VHDL -; qhsimvh Single language VHDL license -; qhsimvl Single language Verilog license -; msimhdlsim Language neutral license for either Verilog or VHDL -; msimhdlmix Second language only, language neutral license for either -; Verilog or VHDL -; -; Directives to license manager can be set either as single value or as -; space separated multi-values: -; vhdl Immediately checkout and hold a VHDL license (i.e., one of -; qhsimvh, msimhdlsim, or msimhdlmix) -; vlog Immediately checkout and hold a Verilog license (i.e., one of -; qhsimvl, msimhdlsim, or msimhdlmix) -; plus Immediately checkout and hold a VHDL license and a Verilog license -; noqueue Do not wait in the license queue when a license is not available -; viewsim Try for viewer license but accept simulator license(s) instead -; of queuing for viewer license (PE ONLY) -; noviewer Disable checkout of msimviewer license feature (PE ONLY) -; noslvhdl Disable checkout of qhsimvh license feature -; noslvlog Disable checkout of qhsimvl license feature -; nomix Disable checkout of msimhdlmix license feature -; nolnl Disable checkout of msimhdlsim license feature -; mixedonly Disable checkout of qhsimvh and qhsimvl license features -; lnlonly Disable checkout of qhsimvh,qhsimvl, and msimhdlmix license features -; -; Examples (remove ";" comment character to activate licensing directives): -; Single directive: -; License = plus -; Multi-directive (Note: space delimited directives): -; License = noqueue plus - -; Severity level of a VHDL assertion message or of a SystemVerilog severity system task -; which will cause a running simulation to stop. -; VHDL assertions and SystemVerilog severity system task that occur with the -; given severity or higher will cause a running simulation to stop. -; This value is ignored during elaboration. -; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal -BreakOnAssertion = 3 - -; Severity level of a tool message which will cause a running simulation to -; stop. This value is ignored during elaboration. Default is to not break. -; 0 = Note 1 = Warning 2 = Error 3 = Fatal -;BreakOnMessage = 2 - -; The class debug feature enables more visibility and tracking of class instances -; during simulation. By default this feature is disabled (0). To enable this -; feature set ClassDebug to 1. -; ClassDebug = 1 - -; Message Format conversion specifications: -; %S - Severity Level of message/assertion -; %R - Text of message -; %T - Time of message -; %D - Delta value (iteration number) of Time -; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected -; %i - Instance/Region/Signal pathname with Process name (if available) -; %I - shorthand for one of these: -; " %K: %i" -; " %K: %i File: %F" (when path is not Process or Signal) -; except that the %i in this case does not report the Process name -; %O - Process name -; %P - Instance/Region path without leaf process -; %F - File name -; %L - Line number; if assertion message, then line number of assertion or, if -; assertion is in a subprogram, line from which the call is made -; %u - Design unit name in form library.primary -; %U - Design unit name in form library.primary(secondary) -; %% - The '%' character itself -; -; If specific format for Severity Level is defined, use that format. -; Else, for a message that occurs during elaboration: -; -- Failure/Fatal message in VHDL region that is not a Process, and in -; certain non-VHDL regions, uses MessageFormatBreakLine; -; -- Failure/Fatal message otherwise uses MessageFormatBreak; -; -- Note/Warning/Error message uses MessageFormat. -; Else, for a message that occurs during runtime and triggers a breakpoint because -; of the BreakOnAssertion setting: -; -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine; -; -- otherwise uses MessageFormatBreak. -; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat. -; -; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" -; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" -; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" -; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" -; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" -; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" -; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" -; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" - -; Error File - alternate file for storing error messages -; ErrorFile = error.log - -; Simulation Breakpoint messages -; This flag controls the display of function names when reporting the location -; where the simulator stops because of a breakpoint or fatal error. -; Example with function name: # Break in Process ctr at counter.vhd line 44 -; Example without function name: # Break at counter.vhd line 44 -; Default value is 1. -ShowFunctions = 1 - -; Default radix for all windows and commands. -; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned -; Flags may be one of: enumnumeric, showbase, wreal -DefaultRadix = hexadecimal -DefaultRadixFlags = showbase -; Set to 1 for make the signal_force VHDL and Verilog functions use the -; default radix when processing the force value. Prior to 10.2 signal_force -; used the default radix, now it always uses symbolic unless value explicitly indicates base -;SignalForceFunctionUseDefaultRadix = 0 - -; VSIM Startup command -; Startup = do startup.do - -; VSIM Shutdown file -; Filename to save u/i formats and configurations. -; ShutdownFile = restart.do -; To explicitly disable auto save: -; ShutdownFile = --disable-auto-save - -; Run simulator in batch mode as if -batch were specified on the command line if none of -c, -gui, or -i specified. -; Simulator runs in interactive mode as if -i were specified if this option is 0. Default is 0. -; BatchMode = 1 - -; File for saving command transcript when -batch option used -; This option is ignored when -c, -gui, or -i options are used or if BatchMode above is zero -; default is unset so command transcript only goes to stdout for better performance -; BatchTranscriptFile = transcript - -; File for saving command transcript, this option is ignored when -batch option is used -TranscriptFile = transcript - -; Transcript file long line wrapping mode(s) -; mode == 0 :: no wrapping, line recorded as is -; mode == 1 :: wrap at first whitespace after WSColumn -; or at Column. -; mode == 2 :: wrap as above, but add continuation -; character ('\') at end of each wrapped line -; -; WrapMode = 0 -; WrapColumn = 30000 -; WrapWSColumn = 27000 - -; File for saving command history -; CommandHistory = cmdhist.log - -; Specify whether paths in simulator commands should be described -; in VHDL or Verilog format. -; For VHDL, PathSeparator = / -; For Verilog, PathSeparator = . -; Must not be the same character as DatasetSeparator. -PathSeparator = / - -; Specify the dataset separator for fully rooted contexts. -; The default is ':'. For example: sim:/top -; Must not be the same character as PathSeparator. -DatasetSeparator = : - -; Specify a unique path separator for the Signal Spy set of functions. -; The default will be to use the PathSeparator variable. -; Must not be the same character as DatasetSeparator. -; SignalSpyPathSeparator = / - -; Used to control parsing of HDL identifiers input to the tool. -; This includes CLI commands, vsim/vopt/vlog/vcom options, -; string arguments to FLI/VPI/DPI calls, etc. -; If set to 1, accept either Verilog escaped Id syntax or -; VHDL extended id syntax, regardless of source language. -; If set to 0, the syntax of the source language must be used. -; Each identifier in a hierarchical name may need different syntax, -; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or -; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" -; GenerousIdentifierParsing = 1 - -; Disable VHDL assertion messages -; IgnoreNote = 1 -; IgnoreWarning = 1 -; IgnoreError = 1 -; IgnoreFailure = 1 - -; Disable SystemVerilog assertion messages -; IgnoreSVAInfo = 1 -; IgnoreSVAWarning = 1 -; IgnoreSVAError = 1 -; IgnoreSVAFatal = 1 - -; Do not print any additional information from Severity System tasks. -; Only the message provided by the user is printed along with severity -; information. -; SVAPrintOnlyUserMessage = 1; - -; Default force kind. May be freeze, drive, deposit, or default -; or in other terms, fixed, wired, or charged. -; A value of "default" will use the signal kind to determine the -; force kind, drive for resolved signals, freeze for unresolved signals -; DefaultForceKind = freeze - -; Control the iteration of events when a VHDL signal is forced to a value -; This flag can be set to honour the signal update event in next iteration, -; the default is to update and propagate in the same iteration. -; ForceSigNextIter = 1 - -; Enable simulation statistics. Specify one or more arguments: -; [all,none,time,cmd,msg,perf,verbose,list,kb,eor] -; Add '-' to disable specific statistics. Default is [time,cmd,msg]. -; Stats = time,cmd,msg - -; If zero, open files when elaborated; otherwise, open files on -; first read or write. Default is 0. -; DelayFileOpen = 1 - -; Control VHDL files opened for write. -; 0 = Buffered, 1 = Unbuffered -UnbufferedOutput = 0 - -; Control the number of VHDL files open concurrently. -; This number should always be less than the current ulimit -; setting for max file descriptors. -; 0 = unlimited -ConcurrentFileLimit = 40 - -; If nonzero, close files as soon as there is either an explicit call to -; file_close, or when the file variable's scope is closed. When zero, a -; file opened in append mode is not closed in case it is immediately -; reopened in append mode; otherwise, the file will be closed at the -; point it is reopened. -; AppendClose = 1 - -; Control the number of hierarchical regions displayed as -; part of a signal name shown in the Wave window. -; A value of zero tells VSIM to display the full name. -; The default is 0. -; WaveSignalNameWidth = 0 - -; Turn off warnings when changing VHDL constants and generics -; Default is 1 to generate warning messages -; WarnConstantChange = 0 - -; Turn off warnings from accelerated versions of the std_logic_arith, -; std_logic_unsigned, and std_logic_signed packages. -; StdArithNoWarnings = 1 - -; Turn off warnings from accelerated versions of the IEEE numeric_std -; and numeric_bit packages. -; NumericStdNoWarnings = 1 - -; Use old-style (pre-6.6) VHDL FOR GENERATE statement iteration names -; in the design hierarchy. -; This style is controlled by the value of the GenerateFormat -; value described next. Default is to use new-style names, which -; comprise the generate statement label, '(', the value of the generate -; parameter, and a closing ')'. -; Set this to 1 to use old-style names. -; OldVhdlForGenNames = 1 - -; Control the format of the old-style VHDL FOR generate statement region -; name for each iteration. Do not quote the value. -; The format string here must contain the conversion codes %s and %d, -; in that order, and no other conversion codes. The %s represents -; the generate statement label; the %d represents the generate parameter value -; at a particular iteration (this is the position number if the generate parameter -; is of an enumeration type). Embedded whitespace is allowed (but discouraged); -; leading and trailing whitespace is ignored. -; Application of the format must result in a unique region name over all -; loop iterations for a particular immediately enclosing scope so that name -; lookup can function properly. The default is %s__%d. -; GenerateFormat = %s__%d - -; Enable more efficient logging of VHDL Variables. -; Logging VHDL variables without this enabled, while possible, is very -; inefficient. Enabling this will provide a more efficient logging methodology -; at the expense of more memory usage. By default this feature is disabled (0). -; To enabled this feature, set this variable to 1. -; VhdlVariableLogging = 1 - -; Enable logging of VHDL access type variables and their designated objects. -; This setting will allow both variables of an access type ("access variables") -; and their designated objects ("access objects") to be logged. Logging a -; variable of an access type will automatically also cause the designated -; object(s) of that variable to be logged as the simulation progresses. -; Further, enabling this allows access objects to be logged by name. By default -; this feature is disabled (0). To enable this feature, set this variable to 1. -; Enabling this will automatically enable the VhdlVariableLogging feature also. -; AccessObjDebug = 1 - -; Make each VHDL package in a PDU has its own separate copy of the package instead -; of sharing the package between PDUs. The default is to share packages. -; To ensure that each PDU has its own set of packages, set this variable to 1. -; VhdlSeparatePduPackage = 1 - -; Specify whether checkpoint files should be compressed. -; The default is 1 (compressed). -; CheckpointCompressMode = 0 - -; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper. -; Use custom gcc compiler located at this path rather than the default path. -; The path should point directly at a compiler executable. -; DpiCppPath = /bin/gcc -; -; Specify the compiler version from the list of support GNU compilers. -; examples 4.7.4, 5.3.0, 7.4.0 -; DpiCppInstall = 7.4.0 - -; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls. -; The term "out-of-the-blue" refers to SystemVerilog export function calls -; made from C functions that don't have the proper context setup -; (as is the case when running under "DPI-C" import functions). -; When this is enabled, one can call a DPI export function -; (but not task) from any C code. -; the setting of this variable can be one of the following values: -; 0 : dpioutoftheblue call is disabled (default) -; 1 : dpioutoftheblue call is enabled, but export call debug support is not available. -; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available. -; DpiOutOfTheBlue = 1 - -; Specify whether continuous assignments are run before other normal priority -; processes scheduled in the same iteration. This event ordering minimizes race -; differences between optimized and non-optimized designs, and is the default -; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set -; ImmediateContinuousAssign to 0. -; The default is 1 (enabled). -; ImmediateContinuousAssign = 0 - -; List of dynamically loaded objects for Verilog PLI applications -; Veriuser = veriuser.sl - -; Which default VPI object model should the tool conform to? -; The 1364 modes are Verilog-only, for backwards compatibility with older -; libraries, and SystemVerilog objects are not available in these modes. -; -; In the absence of a user-specified default, the tool default is the -; latest available LRM behavior. -; Options for PliCompatDefault are: -; VPI_COMPATIBILITY_VERSION_1364v1995 -; VPI_COMPATIBILITY_VERSION_1364v2001 -; VPI_COMPATIBILITY_VERSION_1364v2005 -; VPI_COMPATIBILITY_VERSION_1800v2005 -; VPI_COMPATIBILITY_VERSION_1800v2008 -; -; Synonyms for each string are also recognized: -; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995) -; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001) -; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005) -; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005) -; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008) - - -; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005 - -; Specify whether the Verilog system task $fopen or vpi_mcd_open() -; will create directories that do not exist when opening the file -; in "a" or "w" mode. -; The default is 0 (do not create non-existent directories) -; CreateDirForFileAccess = 1 - -; Specify default options for the restart command. Options can be one -; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions -; DefaultRestartOptions = -force - - -; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used. -; Valid options include: all, none, verbose, disable, struct, reseed, msglog, trlog, certe. -; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-". -; The list of options must be delimited by commas, without spaces or tabs. -; -; Some examples -; To turn on all available UVM-aware debug features: -; UVMControl = all -; To turn on the struct window, mesage logging, and transaction logging: -; UVMControl = struct,msglog,trlog -; To turn on all options except certe: -; UVMControl = all,-certe -; To completely disable all UVM-aware debug functionality: -; UVMControl = disable - -; Specify the WildcardFilter setting. -; A space separated list of object types to be excluded when performing -; wildcard matches with log, wave, etc commands. The default value for this variable is: -; "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile" -; See "Using the WildcardFilter Preference Variable" in the documentation for -; details on how to use this variable and for descriptions of the filter types. -WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile - -; Specify the WildcardSizeThreshold setting. -; This integer setting specifies the size at which objects will be excluded when -; performing wildcard matches with log, wave, etc commands. Objects of size equal -; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard -; matches. The size is a simple calculation of number of bits or items in the object. -; The default value is 8k (8192). Setting this value to 0 will disable the checking -; of object size against this threshold and allow all objects of any size to be logged. -WildcardSizeThreshold = 8192 - -; Specify whether warning messages are output when objects are filtered out due to the -; WildcardSizeThreshold. The default is 0 (no messages generated). -WildcardSizeThresholdVerbose = 0 - -; Turn on (1) or off (0) WLF file compression. -; The default is 1 (compress WLF file). -; WLFCompress = 0 - -; Specify whether to save all design hierarchy (1) in the WLF file -; or only regions containing logged signals (0). -; The default is 0 (save only regions with logged signals). -; WLFSaveAllRegions = 1 - -; WLF file time limit. Limit WLF file by time, as closely as possible, -; to the specified amount of simulation time. When the limit is exceeded -; the earliest times get truncated from the file. -; If both time and size limits are specified the most restrictive is used. -; UserTimeUnits are used if time units are not specified. -; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} -; WLFTimeLimit = 0 - -; WLF file size limit. Limit WLF file size, as closely as possible, -; to the specified number of megabytes. If both time and size limits -; are specified then the most restrictive is used. -; The default is 0 (no limit). -; WLFSizeLimit = 1000 - -; Specify whether or not a WLF file should be deleted when the -; simulation ends. A value of 1 will cause the WLF file to be deleted. -; The default is 0 (do not delete WLF file when simulation ends). -; WLFDeleteOnQuit = 1 - -; Specify whether or not a WLF file should be optimized during -; simulation. If set to 0, the WLF file will not be optimized. -; The default is 1, optimize the WLF file. -; WLFOptimize = 0 - -; Specify the name of the WLF file. -; The default is vsim.wlf -; WLFFilename = vsim.wlf - -; Specify whether to lock the WLF file. -; Locking the file prevents other invocations of ModelSim/Questa tools from -; inadvertently overwriting the WLF file. -; The default is 1, lock the WLF file. -; WLFFileLock = 0 - -; Specify the update interval for the WLF file in live simulation. -; The interval is given in seconds. -; The value is the smallest interval between WLF file updates. The WLF file -; will be flushed (updated) after (at least) the interval has elapsed, ensuring -; that the data is correct when viewed from a separate viewer. -; A value of 0 means that no updating will occur. -; The default value is 10 seconds. -; WLFUpdateInterval = 10 - -; Specify the WLF cache size limit for WLF files. -; The value is given in megabytes. A value of 0 turns off the cache. -; On non-Windows platforms the default WLFCacheSize setting is 2000 (megabytes). -; On Windows, the default value is 1000 (megabytes) to help to avoid filling -; process memory. -; WLFSimCacheSize allows a different cache size to be set for a live simulation -; WLF file, independent of post-simulation WLF file viewing. If WLFSimCacheSize -; is not set, it defaults to the WLFCacheSize value. -; WLFCacheSize = 2000 -; WLFSimCacheSize = 500 - -; Specify the WLF file event collapse mode. -; 0 = Preserve all events and event order. (same as -wlfnocollapse) -; 1 = Only record values of logged objects at the end of a simulator iteration. -; (same as -wlfcollapsedelta) -; 2 = Only record values of logged objects at the end of a simulator time step. -; (same as -wlfcollapsetime) -; The default is 1. -; WLFCollapseMode = 0 - -; Specify whether WLF file logging can use threads on multi-processor machines. -; If 0, no threads will be used; if 1, threads will be used if the system has -; more than one processor. -; WLFUseThreads = 1 - -; Specify the size of objects that will trigger "large object" messages -; at log/wave/list time. The size calculation of the object is the same as that -; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000. -; Setting LargeObjectSize to 0 will disable these messages. -; LargeObjectSize = 500000 - -; Specify the depth of stack frames returned by $stacktrace([level]). -; This depth will be picked up when the optional 'level' argument -; is not specified or its value is not a positive integer. -; StackTraceDepth = 100 - -; Turn on/off undebuggable SystemC type warnings. Default is on. -; ShowUndebuggableScTypeWarning = 0 - -; Turn on/off unassociated SystemC name warnings. Default is off. -; ShowUnassociatedScNameWarning = 1 - -; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. -; ScShowIeeeDeprecationWarnings = 1 - -; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. -; For SystemC-2.3.2 the valid values are 0,1 and 2 -; 0 = SC_SIGNAL_WRITE_CHECK_DISABLE_ -; 1 = SC_SIGNAL_WRITE_CHECK_DEFAULT_ -; 2 = SC_SIGNAL_WRITE_CHECK_CONFLICT_ -; For SystemC-2.2 the valid values are 0 and 1 -; 0 = DISABLE -; 1 = ENABLE -; ScEnableScSignalWriteCheck = 1 - -; Set SystemC default time unit. -; Set to fs, ps, ns, us, ms, or sec with optional -; prefix of 1, 10, or 100. The default is 1 ns. -; The ScTimeUnit value is honored if it is coarser than Resolution. -; If ScTimeUnit is finer than Resolution, it is set to the value -; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, -; then the default time unit will be 1 ns. However if Resolution -; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. -ScTimeUnit = ns - -; Set SystemC sc_main stack size. The stack size is set as an integer -; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or -; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends -; on the amount of data on the sc_main() stack and the memory required -; to succesfully execute the longest function call chain of sc_main(). -ScMainStackSize = 10 Mb - -; Set SystemC thread stack size. The stack size is set as an integer -; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or -; Gb(Giga-byte). The stack size for sc_thread depends -; on the amount of data on the sc_thread stack and the memory required -; to succesfully execute the thread. -; ScStackSize = 1 Mb - -; Turn on/off execution of remainder of sc_main upon quitting the current -; simulation session. If the cumulative length of sc_main() in terms of -; simulation time units is less than the length of the current simulation -; run upon quit or restart, sc_main() will be in the middle of execution. -; This switch gives the option to execute the remainder of sc_main upon -; quitting simulation. The drawback of not running sc_main till the end -; is memory leaks for objects created by sc_main. If on, the remainder of -; sc_main will be executed ignoring all delays. This may cause the simulator -; to crash if the code in sc_main is dependent on some simulation state. -; Default is on. -ScMainFinishOnQuit = 1 - -; Enable calling of the DPI export taks/functions from the -; SystemC start_of_simulation() callback. -; The default is off. -; EnableDpiSosCb = 1 - - -; Set the SCV relationship name that will be used to identify phase -; relations. If the name given to a transactor relation matches this -; name, the transactions involved will be treated as phase transactions -ScvPhaseRelationName = mti_phase - -; Customize the vsim kernel shutdown behavior at the end of the simulation. -; Some common causes of the end of simulation are $finish (implicit or explicit), -; sc_stop(), tf_dofinish(), and assertion failures. -; This should be set to "ask", "exit", or "stop". The default is "ask". -; "ask" -- In batch mode, the vsim kernel will abruptly exit. -; In GUI mode, a dialog box will pop up and ask for user confirmation -; whether or not to quit the simulation. -; "stop" -- Cause the simulation to stay loaded in memory. This can make some -; post-simulation tasks easier. -; "exit" -- The simulation will abruptly exit without asking for any confirmation. -; "final" -- Run SystemVerilog final blocks then behave as "stop". -; Note: This variable can be overridden with the vsim "-onfinish" command line switch. -OnFinish = ask - -; Print pending deferred assertion messages. -; Deferred assertion messages may be scheduled after the $finish in the same -; time step. Deferred assertions scheduled to print after the $finish are -; printed before exiting with severity level NOTE since it's not known whether -; the assertion is still valid due to being printed in the active region -; instead of the reactive region where they are normally printed. -; OnFinishPendingAssert = 1; - -; Print "simstats" result. Default is 0. -; 0 == do not print simstats -; 1 == print at end of simulation -; 2 == print at end of each run command and end of simulation -; PrintSimStats = 1 - -; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages -; AssertFile = assert.log - -; Enable assertion counts. Default is off. -; AssertionCounts = 1 - -; Run simulator in assertion debug mode. Default is off. -; AssertionDebug = 1 - -; Turn on/off PSL/SVA/VHDL assertion enable. Default is on. -; AssertionEnable = 0 - -; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1. -; Any positive integer, -1 for infinity. -; AssertionLimit = 1 - -; Turn on/off concurrent assertion pass log. Default is off. -; Assertion pass logging is only enabled when assertion is browseable -; and assertion debug is enabled. -; AssertionPassLog = 1 - -; Turn on/off PSL concurrent assertion fail log. Default is on. -; The flag does not affect SVA -; AssertionFailLog = 0 - -; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on. -; AssertionFailLocalVarLog = 0 - -; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. -; 0 = Continue 1 = Break 2 = Exit -; AssertionFailAction = 1 - -; Enable the active thread monitor in the waveform display when assertion debug is enabled. -; AssertionActiveThreadMonitor = 1 - -; Control how many waveform rows will be used for displaying the active threads. Default is 5. -; AssertionActiveThreadMonitorLimit = 5 - -; Assertion thread limit after which assertion would be killed/switched off. -; The default is -1 (unlimited). If the number of threads for an assertion go -; beyond this limit, the assertion would be either switched off or killed. This -; limit applies to only assert directives. -;AssertionThreadLimit = -1 - -; Action to be taken once the assertion thread limit is reached. Default -; is kill. It can have a value of off or kill. In case of kill, all the existing -; threads are terminated and no new attempts are started. In case of off, the -; existing attempts keep on evaluating but no new attempts are started. This -; variable applies to only assert directives. -;AssertionThreadLimitAction = kill - -; Cover thread limit after which cover would be killed/switched off. -; The default is -1 (unlimited). If the number of threads for a cover go -; beyond this limit, the cover would be either switched off or killed. This -; limit applies to only cover directives. -;CoverThreadLimit = -1 - -; Action to be taken once the cover thread limit is reached. Default -; is kill. It can have a value of off or kill. In case of kill, all the existing -; threads are terminated and no new attempts are started. In case of off, the -; existing attempts keep on evaluating but no new attempts are started. This -; variable applies to only cover directives. -;CoverThreadLimitAction = kill - - -; By default immediate assertions do not participate in Assertion Coverage calculations -; unless they are executed. This switch causes all immediate assertions in the design -; to participate in Assertion Coverage calculations, whether attempted or not. -; UnattemptedImmediateAssertions = 0 - -; By default immediate covers participate in Coverage calculations -; whether they are attempted or not. This switch causes all unattempted -; immediate covers in the design to stop participating in Coverage -; calculations. -; UnattemptedImmediateCovers = 0 - -; By default pass action block is not executed for assertions on vacuous -; success. The following variable is provided to enable execution of -; pass action block on vacuous success. The following variable is only effective -; if the user does not disable pass action block execution by using either -; system tasks or CLI. Also there is a performance penalty for enabling -; the following variable. -;AssertionEnableVacuousPassActionBlock = 1 - -; As per strict 1850-2005 PSL LRM, an always property can either pass -; or fail. However, by default, Questa reports multiple passes and -; multiple fails on top always/never property (always/never operator -; is the top operator under Verification Directive). The reason -; being that Questa reports passes and fails on per attempt of the -; top always/never property. Use the following flag to instruct -; Questa to strictly follow LRM. With this flag, all assert/never -; directives will start an attempt once at start of simulation. -; The attempt can either fail, match or match vacuously. -; For e.g. if always is the top operator under assert, the always will -; keep on checking the property at every clock. If the property under -; always fails, the directive will be considered failed and no more -; checking will be done for that directive. A top always property, -; if it does not fail, will show a pass at end of simulation. -; The default value is '0' (i.e. zero is off). For example: -; PslOneAttempt = 1 - -; Specify the number of clock ticks to represent infinite clock ticks. -; This affects eventually!, until! and until_!. If at End of Simulation -; (EOS) an active strong-property has not clocked this number of -; clock ticks then neither pass or fail (vacuous match) is returned -; else respective fail/pass is returned. The default value is '0' (zero) -; which effectively does not check for clock tick condition. For example: -; PslInfinityThreshold = 5000 - -; Control how many thread start times will be preserved for ATV viewing for a given assertion -; instance. Default is -1 (ALL). -; ATVStartTimeKeepCount = -1 - -; Turn on/off code coverage -; CodeCoverage = 0 - -; This option applies to condition and expression coverage UDP tables. It -; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp. -; If this option is used and a match occurs in more than one row in the UDP table, -; none of the counts for all matching rows is incremented. By default, counts are -; incremented for all matching rows. -; CoverCountAll = 1 - -; Turn off automatic inclusion of VHDL integers in toggle coverage. Default -; is to include them. -; ToggleNoIntegers = 1 - -; Set the maximum number of values that are collected for toggle coverage of -; VHDL integers. Default is 100; -; ToggleMaxIntValues = 100 - -; Set the maximum number of values that are collected for toggle coverage of -; Verilog real. Default is 100; -; ToggleMaxRealValues = 100 - -; Turn on automatic inclusion of Verilog integers in toggle coverage, except -; for enumeration types. Default is to include them. -; ToggleVlogIntegers = 0 - -; Turn on automatic inclusion of Verilog real type in toggle coverage, except -; for shortreal types. Default is to not include them. -; ToggleVlogReal = 1 - -; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays -; and VHDL arrays-of-arrays in toggle coverage. -; Default is to not include them. -; ToggleFixedSizeArray = 1 - -; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays, -; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage. -; This leads to a longer simulation time with bigger arrays covered with toggle coverage. -; Default is 1024. -; ToggleMaxFixedSizeArray = 1024 - -; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized -; one-dimensional packed vectors for toggle coverage. Default is 0. -; TogglePackedAsVec = 0 - -; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for -; toggle coverage. Default is 0. -; ToggleVlogEnumBits = 0 - -; Turn off automatic inclusion of VHDL records in toggle coverage. -; Default is to include them. -; ToggleVHDLRecords = 0 - -; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. -; For unlimited width, set to 0. -; ToggleWidthLimit = 128 - -; Limit the counts that are tracked for toggle coverage. When all edges for a bit have -; reached this count, further activity on the bit is ignored. Default is 1. -; For unlimited counts, set to 0. -; ToggleCountLimit = 1 - -; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3. -; Following is the toggle coverage calculation criteria based on extended toggle mode: -; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z'). -; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'. -; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions. -; ExtendedToggleMode = 3 - -; Enable toggle statistics collection only for ports. Default is 0. -; TogglePortsOnly = 1 - -; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has -; reached this count, further tracking of the input patterns linked to it is ignored. -; Default is 1. For unlimited counts, set to 0. -; NOTE: Changing this value from its default value may affect simulation performance. -; FecCountLimit = 1 - -; Limit the counts that are tracked for UDP Coverage. When a bin has -; reached this count, further tracking of the input patterns linked to it is ignored. -; Default is 1. For unlimited counts, set to 0. -; NOTE: Changing this value from its default value may affect simulation performance. -; UdpCountLimit = 1 - -; Control toggle coverage deglitching period. A period of 0, eliminates delta -; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either -; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". -; ToggleDeglitchPeriod = 10.0ps - -; Turn on/off all PSL/SVA cover directive enables. Default is on. -; CoverEnable = 0 - -; Turn on/off PSL/SVA cover log. Default is off "0". -; CoverLog = 1 - -; Set "at_least" value for all PSL/SVA cover directives. Default is 1. -; CoverAtLeast = 2 - -; Set "limit" value for all PSL/SVA cover directives. Default is -1. -; Any positive integer, -1 for infinity. -; CoverLimit = 1 - -; Specify the coverage database filename. -; Default is "" (i.e. database is NOT automatically saved on close). -; UCDBFilename = vsim.ucdb - -; Specify the maximum limit for the number of Cross (bin) products reported -; in XML and UCDB report against a Cross. A warning is issued if the limit -; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this -; setting. -; MaxReportRhsSVCrossProducts = 1000 - -; Specify the override for the "auto_bin_max" option for the Covergroups. -; If not specified then value from Covergroup "option" is used. -; SVCoverpointAutoBinMax = 64 - -; Specify the override for the value of "cross_num_print_missing" -; option for the Cross in Covergroups. If not specified then value -; specified in the "option.cross_num_print_missing" is used. This -; is a runtime option. NOTE: This overrides any "cross_num_print_missing" -; value specified by user in source file and any SVCrossNumPrintMissingDefault -; specified in modelsim.ini. -; SVCrossNumPrintMissing = 0 - -; Specify whether to use the value of "cross_num_print_missing" -; option in report and GUI for the Cross in Covergroups. If not specified then -; cross_num_print_missing is ignored for creating reports and displaying -; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". -; UseSVCrossNumPrintMissing = 0 - -; Specify the threshold of Coverpoint wildcard bin value range size, above which -; a warning will be triggered. The default is 4K -- 12 wildcard bits. -; SVCoverpointWildCardBinValueSizeWarn = 4096 - -; Specify the override for the value of "strobe" option for the -; Covergroup Type. If not specified then value in "type_option.strobe" -; will be used. This is runtime option which forces "strobe" to -; user specified value and supersedes user specified values in the -; SystemVerilog Code. NOTE: This also overrides the compile time -; default value override specified using "SVCovergroupStrobeDefault" -; SVCovergroupStrobe = 0 - -; Override for explicit assignments in source code to "option.goal" of -; SystemVerilog covergroup, coverpoint, and cross. It also overrides the -; default value of "option.goal" (defined to be 100 in the SystemVerilog -; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". -; SVCovergroupGoal = 100 - -; Override for explicit assignments in source code to "type_option.goal" of -; SystemVerilog covergroup, coverpoint, and cross. It also overrides the -; default value of "type_option.goal" (defined to be 100 in the SystemVerilog -; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". -; SVCovergroupTypeGoal = 100 - -; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage() -; builtin functions, and report. This setting changes the default values of -; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3 -; behavior if explicit assignments are not made on option.get_inst_coverage and -; type_option.merge_instances by the user. There are two vsim command line -; options, -cvg63 and -nocvg63 to override this setting from vsim command line. -; The default value of this variable from release 6.6 onwards is 0. This default -; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. -; SVCovergroup63Compatibility = 0 - -; Enforce the default behavior of covergroup get_coverage() builtin function, GUI -; and report. This variable sets the default value of type_option.merge_instances. -; There are two vsim command line options, -cvgmergeinstances and -; -nocvgmergeinstances to override this setting from vsim command line. -; The default value of this variable, -1 (don't care), allows the tool to determine -; the effective value, based on factors related to capacity and optimization. -; The type_option.merge_instances appears in the GUI and coverage reports as either -; auto(1) or auto(0), depending on whether the effective value was determined to -; be a 1 or a 0. -; SVCovergroupMergeInstancesDefault = -1 - -; Enable or disable generation of more detailed information about the sampling -; of covergroup, cross, and coverpoints. It provides the details of the number -; of times the covergroup instance and type were sampled, as well as details -; about why covergroup, cross and coverpoint were not covered. A non-zero value -; is to enable this feature. 0 is to disable this feature. Default is 0 -; SVCovergroupSampleInfo = 0 - -; Specify the maximum number of Coverpoint bins in whole design for -; all Covergroups. -; MaxSVCoverpointBinsDesign = 2147483648 - -; Specify maximum number of Coverpoint bins in any instance of a Covergroup, default is 2^10 bins -; MaxSVCoverpointBinsInst = 1048576 - -; Specify the maximum number of Cross bins in whole design for -; all Covergroups. -; MaxSVCrossBinsDesign = 2147483648 - -; Specify maximum number of Cross bins in any instance of a Covergroup, default is 2^16 bins -; MaxSVCrossBinsInst = 67108864 - -; Specify whether vsim will collect the coverage data of zero-weight coverage items or not. -; By default, this variable is set 0, in which case option.no_collect setting will take effect. -; If this variable is set to 1, all zero-weight coverage items will not be saved. -; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting -; of this variable. -; CvgZWNoCollect = 1 - -; Specify a space delimited list of double quoted TCL style -; regular expressions which will be matched against the text of all messages. -; If any regular expression is found to be contained within any message, the -; status for that message will not be propagated to the UCDB TESTSTATUS. -; If no match is detected, then the status will be propagated to the -; UCDB TESTSTATUS. More than one such regular expression text is allowed, -; and each message text is compared for each regular expression in the list. -; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message" - -; Set weight for all PSL/SVA cover directives. Default is 1. -; CoverWeight = 2 - -; Check vsim plusargs. Default is 0 (off). -; 0 = Don't check plusargs -; 1 = Warning on unrecognized plusarg -; 2 = Error and exit on unrecognized plusarg -; CheckPlusargs = 1 - -; Load the specified shared objects with the RTLD_GLOBAL flag. -; This gives global visibility to all symbols in the shared objects, -; meaning that subsequently loaded shared objects can bind to symbols -; in the global shared objects. The list of shared objects should -; be whitespace delimited. This option is not supported on the -; Windows or AIX platforms. -; GlobalSharedObjectList = example1.so example2.so example3.so - -; Generate the stub definitions for the undefined symbols in the shared libraries being -; loaded in the simulation. When this flow is turned on, the undefined symbols will not -; prevent vsim from loading. Calling undefined symbols at runtime will cause fatal error. -; The valid arguments are: on, off, verbose. -; on : turn on the automatic generation of stub definitions. -; off: turn off the flow. The undefined symbols will trigger an immediate load failure. -; verbose: Turn on the flow and report the undefined symbols for each shared library. -; NOTE: This variable can be overriden with vsim switch "-undefsyms". -; The default is on. -; -; UndefSyms = off - -; Enable the support for automatically checkpointing foreign C/C++ libraries. -; The valid arguments are: 0, 1, 2 -; 0: off (default) -; 1: on (manually save/restore user shared library data) -; 2: auto (automatically save/restore user shared library data) -; This option is not supported on the Windows platforms. -; -; AllowCheckpointCpp = 2 - -; Initial seed for the random number generator of the root thread (SystemVerilog). -; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch. -; The default value is 0. -; Sv_Seed = 0 - -; Specify the solver "engine" that vsim will select for constrained random -; generation. -; Valid values are: -; "auto" - automatically select the best engine for the current -; constraint scenario -; "bdd" - evaluate all constraint scenarios using the BDD solver engine -; "act" - evaluate all constraint scenarios using the ACT solver engine -; While the BDD solver engine is generally efficient with constraint scenarios -; involving bitwise logical relationships, the ACT solver engine can exhibit -; superior performance with constraint scenarios involving large numbers of -; random variables related via arithmetic operators (+, *, etc). -; NOTE: This variable can be overridden with the vsim "-solveengine" command -; line switch. -; The default value is "auto". -; SolveEngine = auto - -; Specify the maximum size that a random dynamic array or queue may be resized -; to by the solver. If the solver attempts to resize a dynamic array or queue -; to a size greater than the specified limit, the solver will abort with an error. -; The default value is 10000. The maximum value is 10000000. A value of 0 is -; equivalent to specifying the maximum value. -; SolveArrayResizeMax = 10000 - -; Specify error message severity when randomize() and randomize(null) failures -; are detected. -; -; Integer value up to two digits are allowed with each digit having the following legal values: -; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal -; -; 1) When a value with two digits is used, the digit at tenth place (leftmost digit) represents -; the severtity setting for normal randomize() calls. The digit at ones place (rightmost digit) -; represents the setting for randomize(null) calls. -; -; 2) When a single digit value is used, the setting is applied to both normal randomize() call -; and randomize(null) call. -; -; Example: Fatal error for randomize() failures and NO error for randomize(null) failures -; -solvefailseverity=40 -; -; NOTE: SolveFailSeverity can affect the behavior of SolveFailDebug. When SolveFailDebug is -; enabled, a constraint contradiction report will be displayed for randomize() calls that -; have a message severity >= warning (i.e. constraint contradiction reports will not be -; generated for randomize() calls having a "no error" severity level) -; -; NOTE: This variable can be overridden with the vsim "-solvefailseverity" command -; line switch. -; -; The default is 1 (warning). -; SolveFailSeverity = 1 - -; Error message severity for suppressible errors that are detected in a -; solve/before constraint. -; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal -; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity" -; command line switch. -; The default is 3 (failure). -; SolveBeforeErrorSeverity = 3 - -; Error message severity for suppressible errors that are related to -; solve engine capacity limits -; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal -; NOTE: This variable can be overridden with the vsim "-solveengineerrorseverity" -; command line switch. -; The default is 3 (failure). -; SolveEngineErrorSeverity = 3 - -; Enable/disable constraint conflicts on randomize() failure -; Valid values: -; 0 - disable solvefaildebug -; 1 - basic debug (no performance penalty) -; 2 - enhanced debug (runtime performance penalty) -; -; NOTE: SolveFailSeverity can affect the behavior of SolveFailDebug. When SolveFailDebug is -; enabled, a constraint contradiction report will be displayed for randomize() calls that -; have a message severity >= warning (i.e. constraint contradiction reports will not be -; generated for randomize() calls having a "no error" severity level) -; -; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command -; line switch. -; -; The default is 1 (basic debug). -; SolveFailDebug = 1 - -; Upon encountering a randomize() failure, generate a simplified testcase that -; will reproduce the failure. Optionally output the testcase to a file. -; Testcases for 'no-solution' failures will only be produced if SolveFailDebug -; is enabled (see above). -; NOTE: This variable can be overridden with the vsim "-solvefailtestcase" -; command line switch. -; The default is OFF (do not generate a testcase). To enable testcase -; generation, uncomment this variable. To redirect testcase generation to a -; file, specify the name of the output file. -; SolveFailTestcase = - -; Specify solver timeout threshold (in seconds). randomize() will fail if the -; CPU time required to evaluate any randset exceeds the specified timeout. -; The default value is 500. A value of 0 will disable timeout failures. -; SolveTimeout = 500 - -; Specify the alternative behavior during solver replay. Must be used when combined with -solvereplay switch. -; SolveReplayOpt=[+|-][,[+|-]]*" -' Valid settings: -; validate : toggle the checking of value changes of non-random variables involved in randomize(). (default is off)" -; SolveReplayOpt=validate - -; Switch to specify options that control the behavior of the solver profiler.. -; Valid options are: -; cputime - use CPU time instead of elapsed time (wall time) to measure performance data (default is off) -; randsets - enable detailed profiling of randsets (default is off) -; testgen - generate testcases for profiled randsets (only when randsets option is enabled) (default is off) -; SolverFProf = [+|-]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.