URL
https://opencores.org/ocsvn/oms8051mini/oms8051mini/trunk
Subversion Repositories oms8051mini
Compare Revisions
- This comparison shows the changes necessary to convert path
/oms8051mini
- from Rev 2 to Rev 3
- ↔ Reverse comparison
Rev 2 → Rev 3
/trunk/verif/sw/C/gmac_loopback.c
File deleted
trunk/verif/sw/C/gmac_loopback.c
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: trunk/verif/testcase/gmac_test1.v
===================================================================
--- trunk/verif/testcase/gmac_test1.v (revision 2)
+++ trunk/verif/testcase/gmac_test1.v (nonexistent)
@@ -1,82 +0,0 @@
-task gmac_test1;
-reg [31:0] read_data;
-reg [3:0] desc_ptr;
-reg [9:0] desc_rx_qbase;
-reg [9:0] desc_tx_qbase;
-reg [7:0] iFrmCnt;
-
-begin
- //--------------------------
- // Data Memory MAP
- //-------------------------
- // 0x0000 to 0x0FFF - 4K - Processor Data Memory
- // 0x1000 to 0x1FFF - 4K - Gmac Rx Data Memory
- // 0x2000 to 0x2FFF - 4K - Reserved for Rx
- // 0x3000 to 0x3FFF - 4K - Gmac Tx Data Memory
- // 0x4000 to 0x4FFF - 4K - Reserved for Tx
- // 0x7000 to 0x703F - 64 - Rx Descriptor
- // 0x7040 to 0x707F - 64 - Tx Descripto
-
- events_log = $fopen("../test_log_files/test1_events.log");
- tb_top.u_tb_eth.event_file = events_log;
-
- desc_ptr = 0;
- desc_rx_qbase = 10'h1C0;
- desc_tx_qbase = 10'h1C1;
- iFrmCnt = 0;
- tb_top.u_tb_eth.init_port(3'b1, 3'b1, 1'b1, 0);
-
- tb_top.cpu_write('h1,8'h0,{4'h1,4'h1,8'h45,8'h01}); // tx/rx-control
- tb_top.cpu_write('h1,8'h8,{16'h0,8'd22,8'd22}); // Tx/Rx IFG
- tb_top.cpu_write('h1,8'h24,{desc_tx_qbase,desc_ptr,2'b00,
- desc_rx_qbase,desc_ptr,2'b00}); // Tx/Rx Descriptor
-
- tb_top.u_tb_eth.set_flow_type(0);//L2 unicast
- tb_top.u_tb_eth.set_L2_frame_size(1, 64, 84, 1); //, 1, 17, 33, 49, 64
- tb_top.u_tb_eth.set_payload_type(2, 5000,0); //make sure frame size is honored
- tb_top.u_tb_eth.set_L2_protocol(0); // Untagged frame
- tb_top.u_tb_eth.set_L2_source_address(0, 48'h12_34_56_78_9a_bc, 0,0);
- tb_top.u_tb_eth.set_L2_destination_address(0, 48'h16_22_33_44_55_66, 0,0);
- tb_top.u_tb_eth.set_L3_protocol(4); // IPV4
- tb_top.u_tb_eth.set_crc_option(0,0);
-
- fork
- tb_top.u_tb_eth.transmit_packet_sequence(10, 96, 1, 500000);
- begin
- tb_top.u_tb_eth.wait_for_event(3, 0);
- tb_top.u_tb_eth.wait_for_event(3, 0);
- end
- begin
- while(iFrmCnt != 10) begin
- tb_top.cpu_read('h1,8'h30,read_data); // Tx/Rx Counter
- if(read_data[3:0] != 0) begin // Check the Rx Q Counter
- // Read the Receive Descriptor
- tb_top.cpu_read('h4,{desc_rx_qbase,desc_ptr,2'b0},read_data);
- // Write the Tx Descriptor
- tb_top.cpu_write('h4,{desc_tx_qbase,desc_ptr,2'b0},read_data);
- desc_ptr = desc_ptr+1;
- iFrmCnt = iFrmCnt+1;
- end
- #1000;
- end
- end
- join
-
- #100000;
-
- `TB_AGENTS_GMAC.full_mii.status; // test status
-
- // Check the Transmitted & Received Frame cnt
- if(`TB_AGENTS_GMAC.full_mii.transmitted_packet_count != `TB_AGENTS_GMAC.full_mii.receive_packet_count)
- `TB_GLBL.test_err;
-
- // Check the Transmitted & Received Byte cnt
- if(`TB_AGENTS_GMAC.full_mii.transmitted_packet_byte_count != `TB_AGENTS_GMAC.full_mii.receive_packet_byte_count)
- `TB_GLBL.test_err;
-
- if(`TB_AGENTS_GMAC.full_mii.receive_crc_err_count)
- `TB_GLBL.test_err;
-
-end
-endtask
-
trunk/verif/testcase/gmac_test1.v
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: trunk/verif/testcase/gmac_test2.v
===================================================================
--- trunk/verif/testcase/gmac_test2.v (revision 2)
+++ trunk/verif/testcase/gmac_test2.v (nonexistent)
@@ -1,79 +0,0 @@
-task gmac_test2;
-reg [31:0] read_data;
-reg [3:0] desc_ptr;
-reg [9:0] desc_rx_qbase;
-reg [9:0] desc_tx_qbase;
-reg [7:0] iFrmCnt;
-
-begin
- //--------------------------
- // Data Memory MAP
- //-------------------------
- // 0x0000 to 0x0FFF - 4K - Processor Data Memory
- // 0x1000 to 0x1FFF - 4K - Gmac Rx Data Memory
- // 0x2000 to 0x2FFF - 4K - Reserved for Rx
- // 0x3000 to 0x3FFF - 4K - Gmac Tx Data Memory
- // 0x4000 to 0x4FFF - 4K - Reserved for Tx
- // 0x7000 to 0x703F - 64 - Rx Descriptor
- // 0x7040 to 0x707F - 64 - Tx Descripto
-
- events_log = $fopen("../test_log_files/test1_events.log");
- tb_top.u_tb_eth.event_file = events_log;
-
- $system("cp ../testcase/dat/gmac_loopback.dat ./dat/oc8051_xrom.in");
- // Enable the RISC booting + Internal ROM Mode
- tb_top.ea_in = 1;
- tb_top.master_mode = 1;
-
- #1000 wait(reset_out_n == 1);
-
-
- desc_ptr = 0;
- desc_rx_qbase = 10'h1C0;
- desc_tx_qbase = 10'h1C1;
- iFrmCnt = 0;
- tb_top.u_tb_eth.init_port(3'b1, 3'b1, 1'b1, 0);
-
- tb_top.cpu_write('h1,8'h0,{4'h1,4'h1,8'h45,8'h01}); // tx/rx-control
- tb_top.cpu_write('h1,8'h8,{16'h0,8'd22,8'd22}); // Tx/Rx IFG
- tb_top.cpu_write('h1,8'h24,{desc_tx_qbase,desc_ptr,2'b00,
- desc_rx_qbase,desc_ptr,2'b00}); // Tx/Rx Descriptor
-
- tb_top.u_tb_eth.set_flow_type(0);//L2 unicast
- tb_top.u_tb_eth.set_L2_frame_size(1, 64, 84, 1); //, 1, 17, 33, 49, 64
- tb_top.u_tb_eth.set_payload_type(2, 5000,0); //make sure frame size is honored
- tb_top.u_tb_eth.set_L2_protocol(0); // Untagged frame
- tb_top.u_tb_eth.set_L2_source_address(0, 48'h12_34_56_78_9a_bc, 0,0);
- tb_top.u_tb_eth.set_L2_destination_address(0, 48'h16_22_33_44_55_66, 0,0);
- tb_top.u_tb_eth.set_L3_protocol(4); // IPV4
- tb_top.u_tb_eth.set_crc_option(0,0);
-
- fork
- tb_top.u_tb_eth.transmit_packet_sequence(10, 96, 1, 500000);
- $display("Status: End of Transmission Loop");
- begin
- tb_top.u_tb_eth.wait_for_event(3, 0);
- tb_top.u_tb_eth.wait_for_event(3, 0);
- $display("Status: End of Waiting Event Loop");
- end
- join
-
- #100000;
- $display("Status: End of Waiting Delay Loop");
-
- `TB_AGENTS_GMAC.full_mii.status; // test status
-
- // Check the Transmitted & Received Frame cnt
- if(`TB_AGENTS_GMAC.full_mii.transmitted_packet_count != `TB_AGENTS_GMAC.full_mii.receive_packet_count)
- `TB_GLBL.test_err;
-
- // Check the Transmitted & Received Byte cnt
- if(`TB_AGENTS_GMAC.full_mii.transmitted_packet_byte_count != `TB_AGENTS_GMAC.full_mii.receive_packet_byte_count)
- `TB_GLBL.test_err;
-
- if(`TB_AGENTS_GMAC.full_mii.receive_crc_err_count)
- `TB_GLBL.test_err;
-
-end
-endtask
-
trunk/verif/testcase/gmac_test2.v
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: trunk/verif/testcase/webserver.v
===================================================================
--- trunk/verif/testcase/webserver.v (revision 2)
+++ trunk/verif/testcase/webserver.v (nonexistent)
@@ -1,120 +0,0 @@
-task webserver;
-reg [31:0] read_data;
-reg [3:0] desc_ptr;
-reg [9:0] desc_rx_qbase;
-reg [9:0] desc_tx_qbase;
-reg [7:0] iFrmCnt;
-
-reg [31:0] outfile;
-begin
- //--------------------------
- // Data Memory MAP
- //-------------------------
- // 0x0000 to 0x0FFF - 4K - Processor Data Memory
- // 0x1000 to 0x1FFF - 4K - Gmac Rx Data Memory
- // 0x2000 to 0x2FFF - 4K - Reserved for Rx
- // 0x3000 to 0x3FFF - 4K - Gmac Tx Data Memory
- // 0x4000 to 0x4FFF - 4K - Reserved for Tx
- // 0x7000 to 0x703F - 64 - Rx Descriptor
- // 0x7040 to 0x707F - 64 - Tx Descripto
-
- events_log = $fopen("../test_log_files/test1_events.log");
- tb_top.u_tb_eth.event_file = events_log;
-
- outfile = $fopen("../test_log_files/test1_outfile.log");
- tb_top.u_tb_eth.outfile = outfile;
- $system("cp ../testcase/dat/webserver.dat ./dat/oc8051_xrom.in");
- // Enable the RISC booting + Internal ROM Mode
- tb_top.ea_in = 1;
- tb_top.master_mode = 1;
-
- #1000 wait(reset_out_n == 1);
-
-
- desc_ptr = 0;
- desc_rx_qbase = 10'h1C0; // MSB 10 Bits of 0x7000
- desc_tx_qbase = 10'h1C1; // MSB 10 Bits of 0x7040
- iFrmCnt = 0;
- tb_top.u_tb_eth.init_port(3'b1, 3'b1, 1'b1, 0);
-
- tb_top.cpu_write('h1,8'h0,{4'h1,4'h1,8'h45,8'h19}); // tx/rx-control
- tb_top.cpu_write('h1,8'h8,{16'h0,8'd22,8'd22}); // Tx/Rx IFG
- tb_top.cpu_write('h1,8'h24,{desc_tx_qbase,desc_ptr,2'b00,
- desc_rx_qbase,desc_ptr,2'b00}); // Tx/Rx Descriptor
-
- tb_top.u_tb_eth.set_L2_custom_header(42,336'h01_02_03_04_05_06_11_12_13_14_15_16_08_06_00_01_11_22_06_04_00_01_00_00_00_00_00_00_00_00_00_00_00_00_00_00_00_00_0A_01_01_01);//L2 unicast
- tb_top.u_tb_eth.set_flow_type(0);//L2 unicast
- tb_top.u_tb_eth.set_L2_frame_size(1, 64, 84, 1); //, 1, 17, 33, 49, 64
- tb_top.u_tb_eth.set_payload_type(2, 5000,0); //make sure frame size is honored
- //tb_top.u_tb_eth.set_L2_protocol(0); // Untagged frame
- //tb_top.u_tb_eth.set_L2_source_address(0, 48'h12_34_56_78_9a_bc, 0,0);
- //tb_top.u_tb_eth.set_L2_destination_address(0, 48'h16_22_33_44_55_66, 0,0);
- tb_top.u_tb_eth.set_L3_protocol(4); // IPV4
- tb_top.u_tb_eth.set_crc_option(0,0);
-
- fork
- begin
- // Send ARP Packet
- tb_top.u_tb_eth.set_L2_custom_header(42,336'h01_02_03_04_05_06_11_12_13_14_15_16_08_06_00_01_11_22_06_04_00_01_00_00_00_00_00_00_00_00_00_00_00_00_00_00_00_00_0A_01_01_01);//L2 unicast
- tb_top.u_tb_eth.print_packet_parameters();
- tb_top.u_tb_eth.transmit_packet_sequence(1, 96, 1, 500000);
- #1000; // wait for IFG
- // Send IP Packet + ICMP Request
- tb_top.u_tb_eth.set_L2_custom_header(42,336'h01_02_03_04_05_06_11_12_13_14_15_16_08_00_00_01_11_22_06_04_00_01_00_01_00_00_00_00_00_00_0A_01_01_01_08_00_00_00_0A_01_01_01);//L2 unicast
- tb_top.u_tb_eth.print_packet_parameters();
- tb_top.u_tb_eth.transmit_packet_sequence(1, 96, 1, 500000);
- #1000; // wait for IFG
-
- // Packet No: 3
- // Send IP4 + TCP + Flag with SYNC
- tb_top.u_tb_eth.set_flow_type(5);//L4
- tb_top.u_tb_eth.set_L2_protocol(0); // Ethernet without VLAN
- tb_top.u_tb_eth.set_L3_protocol(4); // IPV4
- tb_top.u_tb_eth.set_L4_protocol(0); // TCP
- tb_top.u_tb_eth.set_IP_header(32'h0a010102,32'h0a010101,0,1,0,0,0,6);
- tb_top.u_tb_eth.set_TCP_header_fields(0,8'h2,0,0);
- tb_top.u_tb_eth.set_TCP_port_numbers(16'h1000,16'h50); // decimal: 80. Hex:50
- tb_top.u_tb_eth.print_packet_parameters();
- tb_top.u_tb_eth.transmit_packet_sequence(1, 96, 1, 500000);
- #1000; // wait for IFG
-
- // Packet No: 4
- // Send IP4 + TCP + Flag with ACk
- tb_top.u_tb_eth.set_flow_type(5);//L4
- tb_top.u_tb_eth.set_L2_protocol(0); // Ethernet without VLAN
- tb_top.u_tb_eth.set_L3_protocol(4); // IPV4
- tb_top.u_tb_eth.set_L4_protocol(0); // TCP
- tb_top.u_tb_eth.set_IP_header(32'h0a010102,32'h0a010101,0,1,0,0,0,6);
- tb_top.u_tb_eth.set_TCP_header_fields(0,16'h10,0,0);
- tb_top.u_tb_eth.set_TCP_port_numbers(16'h1000,16'h50); // decimal: 80. Hex:50
- tb_top.u_tb_eth.print_packet_parameters();
- tb_top.u_tb_eth.transmit_packet_sequence(1, 96, 1, 500000);
- #1000; // wait for IFG
- $display("Status: End of Transmission Loop");
- end
- begin
- tb_top.u_tb_eth.wait_for_event(3, 0);
- tb_top.u_tb_eth.wait_for_event(3, 0);
- $display("Status: End of Waiting Event Loop");
- end
- join
-
- #3500000;
- $display("Status: End of Waiting Delay Loop");
-
- `TB_AGENTS_GMAC.full_mii.status; // test status
-
- // Check the Transmitted & Received Frame cnt
- if(`TB_AGENTS_GMAC.full_mii.transmitted_packet_count != `TB_AGENTS_GMAC.full_mii.receive_packet_count)
- `TB_GLBL.test_err;
-
- // Check the Transmitted & Received Byte cnt
- if(`TB_AGENTS_GMAC.full_mii.transmitted_packet_byte_count != `TB_AGENTS_GMAC.full_mii.receive_packet_byte_count)
- `TB_GLBL.test_err;
-
- if(`TB_AGENTS_GMAC.full_mii.receive_crc_err_count)
- `TB_GLBL.test_err;
-
-end
-endtask
-
trunk/verif/testcase/webserver.v
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: trunk/verif/testcase/dat/webserver.dat
===================================================================
--- trunk/verif/testcase/dat/webserver.dat (revision 2)
+++ trunk/verif/testcase/dat/webserver.dat (nonexistent)
@@ -1,19274 +0,0 @@
-///
-/// created by oc8051 rom maker
-/// author: Simon Teran (simont@opencores.org)
-///
-/// source file: D:\webserver.hex
-/// date: 5/23/2011
-/// time: 12:06:22 PM
-///
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-00
-02
-00
-48
-12
-02
-92
-80
-FE
-75
-81
-62
-12
-44
-9C
-E5
-82
-60
-03
-02
-00
-43
-79
-A9
-E9
-44
-00
-60
-1B
-7A
-01
-90
-4A
-99
-78
-03
-75
-A0
-03
-E4
-93
-F2
-A3
-08
-B8
-00
-02
-05
-A0
-D9
-F4
-DA
-F2
-75
-A0
-FF
-E4
-78
-FF
-F6
-D8
-FD
-78
-00
-E8
-44
-00
-60
-0A
-79
-00
-75
-A0
-00
-E4
-F3
-09
-D8
-FC
-78
-03
-E8
-44
-03
-60
-0C
-79
-04
-90
-00
-00
-E4
-F0
-A3
-D8
-FC
-D9
-FA
-90
-00
-50
-E4
-F0
-02
-00
-43
-AA
-F0
-AB
-83
-E5
-82
-90
-00
-16
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-75
-80
-01
-90
-02
-E6
-74
-EE
-F0
-A3
-74
-05
-F0
-90
-00
-23
-75
-F0
-00
-12
-41
-87
-AA
-82
-AB
-83
-75
-80
-02
-EA
-4B
-70
-01
-22
-75
-80
-03
-7A
-19
-7B
-00
-7C
-00
-90
-00
-23
-E0
-FD
-A3
-E0
-FE
-A3
-E0
-FF
-90
-02
-F5
-74
-06
-2D
-F0
-E4
-3E
-A3
-F0
-A3
-EF
-F0
-90
-02
-F8
-74
-06
-F0
-A3
-E4
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-43
-44
-75
-80
-04
-90
-00
-23
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-02
-C0
-74
-01
-F0
-A3
-E4
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-3D
-2E
-E5
-82
-60
-3E
-75
-80
-05
-90
-00
-23
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-00
-16
-E0
-FD
-A3
-E0
-FE
-A3
-E0
-FF
-90
-02
-C5
-ED
-F0
-A3
-EE
-F0
-A3
-EF
-F0
-90
-02
-C8
-74
-19
-F0
-A3
-74
-00
-F0
-A3
-E4
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-3E
-27
-75
-80
-06
-22
-75
-80
-07
-90
-00
-23
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-02
-F5
-74
-1A
-2A
-F0
-E4
-3B
-A3
-F0
-A3
-EC
-F0
-90
-02
-F8
-74
-04
-F0
-A3
-E4
-F0
-90
-00
-1F
-75
-F0
-00
-12
-43
-44
-75
-80
-08
-90
-00
-23
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-8A
-82
-8B
-83
-8C
-F0
-12
-0F
-DA
-E5
-82
-70
-04
-75
-80
-09
-22
-75
-80
-0A
-90
-00
-23
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-00
-16
-E0
-FD
-A3
-E0
-FE
-A3
-E0
-FF
-90
-01
-89
-ED
-F0
-A3
-EE
-F0
-A3
-EF
-F0
-90
-01
-8C
-74
-19
-F0
-A3
-74
-00
-F0
-A3
-E4
-F0
-90
-01
-8F
-74
-1F
-F0
-A3
-74
-00
-F0
-A3
-E4
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-21
-BA
-E5
-82
-60
-04
-75
-80
-0B
-22
-75
-80
-0C
-90
-00
-23
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-01
-26
-74
-19
-F0
-A3
-74
-00
-F0
-A3
-E4
-F0
-90
-01
-29
-74
-1F
-F0
-A3
-74
-00
-F0
-A3
-E4
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-18
-E3
-E5
-82
-60
-04
-75
-80
-0D
-22
-75
-80
-0E
-90
-00
-23
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-00
-16
-E0
-FD
-A3
-E0
-FE
-A3
-E0
-FF
-90
-01
-A1
-ED
-F0
-A3
-EE
-F0
-A3
-EF
-F0
-90
-01
-A4
-74
-19
-F0
-A3
-74
-00
-F0
-A3
-E4
-F0
-90
-01
-A7
-74
-1F
-F0
-A3
-74
-00
-F0
-A3
-E4
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-24
-E4
-75
-80
-0F
-22
-22
-90
-00
-00
-74
-41
-F0
-90
-00
-01
-74
-56
-F0
-90
-00
-02
-74
-52
-F0
-90
-00
-03
-74
-50
-F0
-90
-00
-04
-74
-4F
-F0
-90
-00
-05
-74
-52
-F0
-90
-00
-06
-74
-0A
-F0
-90
-00
-07
-74
-01
-F0
-90
-00
-08
-74
-01
-F0
-90
-00
-09
-74
-01
-F0
-90
-03
-0B
-E4
-F0
-A3
-F0
-90
-03
-0D
-E4
-F0
-A3
-F0
-90
-03
-0F
-E4
-F0
-A3
-F0
-90
-03
-11
-E4
-F0
-A3
-F0
-90
-00
-D3
-74
-01
-F0
-A3
-E4
-F0
-90
-00
-26
-F0
-A3
-74
-30
-F0
-A3
-E4
-F0
-90
-00
-00
-75
-F0
-00
-12
-40
-6F
-90
-00
-26
-75
-F0
-00
-12
-00
-A9
-12
-0D
-A9
-12
-0A
-97
-80
-EF
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-00
-37
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-00
-37
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-00
-34
-E0
-FD
-A3
-E0
-FE
-A3
-E0
-FF
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-F8
-A3
-AD
-82
-AE
-83
-E8
-60
-1C
-8A
-82
-8B
-83
-8C
-F0
-E8
-12
-43
-BA
-A3
-AA
-82
-AB
-83
-90
-00
-37
-EA
-F0
-A3
-EB
-F0
-A3
-EC
-F0
-80
-D2
-90
-00
-37
-EA
-F0
-A3
-EB
-F0
-A3
-EC
-F0
-8A
-82
-8B
-83
-8C
-F0
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-00
-3D
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-00
-3A
-E0
-FA
-BA
-05
-00
-40
-53
-90
-00
-3D
-E0
-FB
-A3
-E0
-FC
-A3
-E0
-FD
-90
-00
-3B
-E0
-FE
-A3
-E0
-FF
-90
-02
-EF
-74
-10
-F0
-A3
-74
-27
-F0
-8E
-82
-8F
-83
-C0
-02
-C0
-03
-C0
-04
-C0
-05
-12
-42
-AA
-AE
-82
-AF
-83
-D0
-05
-D0
-04
-D0
-03
-D0
-02
-74
-30
-2E
-FE
-8B
-82
-8C
-83
-8D
-F0
-12
-43
-BA
-90
-00
-3D
-74
-01
-2B
-F0
-E4
-3C
-A3
-F0
-A3
-ED
-F0
-BA
-04
-00
-40
-68
-90
-00
-3D
-E0
-FB
-A3
-E0
-FC
-A3
-E0
-FD
-90
-00
-3B
-E0
-FE
-A3
-E0
-FF
-90
-02
-FE
-74
-10
-F0
-A3
-74
-27
-F0
-8E
-82
-8F
-83
-C0
-02
-C0
-03
-C0
-04
-C0
-05
-12
-43
-D3
-AE
-82
-AF
-83
-90
-02
-EF
-74
-E8
-F0
-A3
-74
-03
-F0
-8E
-82
-8F
-83
-12
-42
-AA
-AE
-82
-AF
-83
-D0
-05
-D0
-04
-D0
-03
-D0
-02
-74
-30
-2E
-FE
-8B
-82
-8C
-83
-8D
-F0
-12
-43
-BA
-90
-00
-3D
-74
-01
-2B
-F0
-E4
-3C
-A3
-F0
-A3
-ED
-F0
-BA
-03
-00
-40
-67
-90
-00
-3D
-E0
-FB
-A3
-E0
-FC
-A3
-E0
-FD
-90
-00
-3B
-E0
-FE
-A3
-E0
-FF
-90
-02
-FE
-74
-E8
-F0
-A3
-74
-03
-F0
-8E
-82
-8F
-83
-C0
-02
-C0
-03
-C0
-04
-C0
-05
-12
-43
-D3
-AE
-82
-AF
-83
-90
-02
-EF
-74
-64
-F0
-A3
-E4
-F0
-8E
-82
-8F
-83
-12
-42
-AA
-AE
-82
-AF
-83
-D0
-05
-D0
-04
-D0
-03
-D0
-02
-74
-30
-2E
-FE
-8B
-82
-8C
-83
-8D
-F0
-12
-43
-BA
-90
-00
-3D
-74
-01
-2B
-F0
-E4
-3C
-A3
-F0
-A3
-ED
-F0
-BA
-02
-00
-40
-62
-90
-00
-3D
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-00
-3B
-E0
-FD
-A3
-E0
-FE
-90
-02
-FE
-74
-64
-F0
-A3
-E4
-F0
-8D
-82
-8E
-83
-C0
-02
-C0
-03
-C0
-04
-12
-43
-D3
-AD
-82
-AE
-83
-90
-02
-EF
-74
-0A
-F0
-A3
-E4
-F0
-8D
-82
-8E
-83
-12
-42
-AA
-AD
-82
-AE
-83
-D0
-04
-D0
-03
-D0
-02
-74
-30
-2D
-FD
-8A
-82
-8B
-83
-8C
-F0
-12
-43
-BA
-90
-00
-3D
-74
-01
-2A
-F0
-E4
-3B
-A3
-F0
-A3
-EC
-F0
-90
-00
-3D
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-00
-3B
-E0
-FD
-A3
-E0
-FE
-90
-02
-FE
-74
-0A
-F0
-A3
-E4
-F0
-8D
-82
-8E
-83
-C0
-02
-C0
-03
-C0
-04
-12
-43
-D3
-AD
-82
-D0
-04
-D0
-03
-D0
-02
-74
-30
-2D
-8A
-82
-8B
-83
-8C
-F0
-12
-43
-BA
-90
-00
-3D
-74
-01
-2A
-F0
-E4
-3B
-A3
-F0
-A3
-EC
-F0
-90
-00
-3D
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-8A
-82
-8B
-83
-8C
-F0
-22
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-00
-44
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-00
-40
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-00
-43
-E0
-FD
-7E
-00
-BE
-04
-00
-40
-03
-02
-06
-C4
-EE
-2A
-FF
-E4
-3B
-F8
-8C
-01
-8F
-82
-88
-83
-89
-F0
-12
-44
-80
-FF
-90
-00
-48
-F0
-74
-63
-B5
-07
-00
-50
-08
-90
-00
-47
-74
-03
-F0
-80
-15
-74
-09
-B5
-07
-00
-50
-08
-90
-00
-47
-74
-02
-F0
-80
-06
-90
-00
-47
-74
-01
-F0
-C0
-02
-C0
-03
-C0
-04
-8E
-07
-78
-00
-0F
-BF
-00
-01
-08
-8D
-01
-7A
-00
-EF
-B5
-01
-06
-E8
-B5
-02
-02
-80
-08
-D0
-04
-D0
-03
-D0
-02
-80
-2C
-D0
-04
-D0
-03
-D0
-02
-90
-00
-44
-E0
-FF
-A3
-E0
-F8
-A3
-E0
-F9
-8F
-82
-88
-83
-89
-F0
-74
-7E
-12
-43
-BA
-90
-00
-44
-74
-01
-2F
-F0
-E4
-38
-A3
-F0
-A3
-E9
-F0
-80
-27
-EE
-60
-24
-90
-00
-44
-E0
-FF
-A3
-E0
-F8
-A3
-E0
-F9
-8F
-82
-88
-83
-89
-F0
-74
-2E
-12
-43
-BA
-90
-00
-44
-74
-01
-2F
-F0
-E4
-38
-A3
-F0
-A3
-E9
-F0
-C0
-05
-90
-00
-44
-E0
-F5
-09
-A3
-E0
-F5
-0A
-A3
-E0
-F5
-0B
-90
-00
-47
-E0
-F5
-08
-90
-00
-48
-E0
-FD
-7F
-00
-90
-00
-3A
-E5
-08
-F0
-90
-00
-3B
-ED
-F0
-A3
-EF
-F0
-85
-09
-82
-85
-0A
-83
-85
-0B
-F0
-C0
-02
-C0
-03
-C0
-04
-C0
-06
-12
-03
-7F
-AD
-82
-AF
-83
-A8
-F0
-D0
-06
-D0
-04
-D0
-03
-D0
-02
-90
-00
-44
-ED
-F0
-A3
-EF
-F0
-A3
-E8
-F0
-0E
-D0
-05
-02
-05
-AC
-90
-00
-44
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-8A
-82
-8B
-83
-8C
-F0
-E4
-02
-43
-BA
-AA
-F0
-AB
-83
-E5
-82
-90
-00
-4D
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-00
-4C
-E0
-FA
-60
-03
-02
-08
-40
-90
-00
-49
-E0
-FB
-A3
-E0
-FC
-A3
-E0
-FD
-8B
-82
-8C
-83
-8D
-F0
-12
-44
-80
-70
-03
-02
-08
-0B
-90
-00
-49
-74
-01
-2B
-F0
-E4
-3C
-A3
-F0
-A3
-ED
-F0
-90
-00
-49
-E0
-FB
-A3
-E0
-FC
-A3
-E0
-FD
-7E
-00
-BE
-03
-00
-40
-03
-02
-09
-E9
-C0
-03
-C0
-04
-C0
-05
-8E
-07
-78
-00
-74
-02
-2F
-FF
-E4
-38
-F8
-8A
-01
-7B
-00
-EF
-B5
-01
-06
-E8
-B5
-03
-02
-80
-08
-D0
-05
-D0
-04
-D0
-03
-80
-2C
-D0
-05
-D0
-04
-D0
-03
-90
-00
-4D
-E0
-FF
-A3
-E0
-F8
-A3
-E0
-F9
-8F
-82
-88
-83
-89
-F0
-74
-7E
-12
-43
-BA
-90
-00
-4D
-74
-01
-2F
-F0
-E4
-38
-A3
-F0
-A3
-E9
-F0
-80
-27
-EE
-60
-24
-90
-00
-4D
-E0
-FF
-A3
-E0
-F8
-A3
-E0
-F9
-8F
-82
-88
-83
-89
-F0
-74
-3A
-12
-43
-BA
-90
-00
-4D
-74
-01
-2F
-F0
-E4
-38
-A3
-F0
-A3
-E9
-F0
-C0
-02
-90
-00
-4D
-E0
-F5
-0C
-A3
-E0
-F5
-0D
-A3
-E0
-F5
-0E
-8B
-82
-8C
-83
-8D
-F0
-12
-44
-80
-FA
-A3
-AB
-82
-AC
-83
-7F
-00
-90
-00
-3A
-74
-02
-F0
-90
-00
-3B
-EA
-F0
-A3
-EF
-F0
-85
-0C
-82
-85
-0D
-83
-85
-0E
-F0
-C0
-03
-C0
-04
-C0
-05
-C0
-06
-12
-03
-7F
-AA
-82
-AF
-83
-A8
-F0
-D0
-06
-D0
-05
-D0
-04
-D0
-03
-90
-00
-4D
-EA
-F0
-A3
-EF
-F0
-A3
-E8
-F0
-0E
-D0
-02
-02
-07
-27
-90
-00
-4D
-E0
-FB
-A3
-E0
-FC
-A3
-E0
-FD
-90
-00
-34
-74
-24
-F0
-A3
-74
-03
-F0
-A3
-E4
-F0
-8B
-82
-8C
-83
-8D
-F0
-12
-03
-19
-AB
-82
-AC
-83
-AD
-F0
-90
-00
-4D
-EB
-F0
-A3
-EC
-F0
-A3
-ED
-F0
-02
-09
-E9
-BA
-01
-02
-80
-03
-02
-08
-EC
-90
-00
-4D
-E0
-FB
-A3
-E0
-FC
-A3
-E0
-FD
-8B
-82
-8C
-83
-8D
-F0
-74
-7E
-12
-43
-BA
-90
-00
-4D
-74
-01
-2B
-F0
-E4
-3C
-A3
-F0
-A3
-ED
-F0
-90
-00
-49
-E0
-FB
-A3
-E0
-FC
-A3
-E0
-FD
-8B
-82
-8C
-83
-8D
-F0
-12
-44
-80
-60
-35
-90
-00
-4D
-E0
-FB
-A3
-E0
-FC
-A3
-E0
-FD
-90
-00
-34
-74
-1D
-F0
-A3
-74
-03
-F0
-A3
-E4
-F0
-8B
-82
-8C
-83
-8D
-F0
-12
-03
-19
-AB
-82
-AC
-83
-AD
-F0
-90
-00
-4D
-EB
-F0
-A3
-EC
-F0
-A3
-ED
-F0
-02
-09
-E9
-90
-00
-4D
-E0
-FB
-A3
-E0
-FC
-A3
-E0
-FD
-90
-00
-34
-74
-24
-F0
-A3
-74
-03
-F0
-A3
-E4
-F0
-8B
-82
-8C
-83
-8D
-F0
-12
-03
-19
-AB
-82
-AC
-83
-AD
-F0
-90
-00
-4D
-EB
-F0
-A3
-EC
-F0
-A3
-ED
-F0
-02
-09
-E9
-90
-00
-49
-E0
-24
-01
-F0
-A3
-E0
-34
-00
-F0
-90
-00
-49
-E0
-FB
-A3
-E0
-FC
-A3
-E0
-FD
-7E
-00
-BE
-03
-00
-40
-03
-02
-09
-E9
-C0
-03
-C0
-04
-C0
-05
-8E
-07
-78
-00
-74
-02
-2F
-FF
-E4
-38
-F8
-8A
-01
-7B
-00
-EF
-B5
-01
-06
-E8
-B5
-03
-02
-80
-08
-D0
-05
-D0
-04
-D0
-03
-80
-2C
-D0
-05
-D0
-04
-D0
-03
-90
-00
-4D
-E0
-FF
-A3
-E0
-F8
-A3
-E0
-F9
-8F
-82
-88
-83
-89
-F0
-74
-7E
-12
-43
-BA
-90
-00
-4D
-74
-01
-2F
-F0
-E4
-38
-A3
-F0
-A3
-E9
-F0
-80
-27
-EE
-60
-24
-90
-00
-4D
-E0
-FF
-A3
-E0
-F8
-A3
-E0
-F9
-8F
-82
-88
-83
-89
-F0
-74
-3A
-12
-43
-BA
-90
-00
-4D
-74
-01
-2F
-F0
-E4
-38
-A3
-F0
-A3
-E9
-F0
-C0
-02
-90
-00
-4D
-E0
-F5
-0C
-A3
-E0
-F5
-0D
-A3
-E0
-F5
-0E
-8B
-82
-8C
-83
-8D
-F0
-12
-44
-80
-FA
-A3
-AB
-82
-AC
-83
-7F
-00
-90
-00
-3A
-74
-02
-F0
-90
-00
-3B
-EA
-F0
-A3
-EF
-F0
-85
-0C
-82
-85
-0D
-83
-85
-0E
-F0
-C0
-03
-C0
-04
-C0
-05
-C0
-06
-12
-03
-7F
-AA
-82
-AF
-83
-A8
-F0
-D0
-06
-D0
-05
-D0
-04
-D0
-03
-90
-00
-4D
-EA
-F0
-A3
-EF
-F0
-A3
-E8
-F0
-0E
-D0
-02
-02
-09
-05
-90
-00
-4D
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-8A
-82
-8B
-83
-8C
-F0
-E4
-02
-43
-BA
-90
-00
-2F
-E0
-24
-01
-F0
-90
-00
-2F
-E0
-FA
-BA
-FA
-02
-80
-01
-22
-90
-00
-2F
-E4
-F0
-90
-00
-14
-E0
-44
-02
-F0
-90
-00
-14
-E0
-30
-E5
-1B
-90
-00
-50
-E0
-24
-01
-F0
-90
-00
-50
-E0
-FA
-BA
-05
-0C
-90
-00
-50
-E4
-F0
-90
-00
-14
-E0
-44
-80
-F0
-90
-00
-30
-E0
-60
-52
-90
-00
-29
-E0
-FA
-BA
-04
-01
-22
-90
-00
-33
-E0
-FA
-1A
-90
-00
-33
-EA
-F0
-74
-3B
-B5
-02
-00
-50
-37
-90
-00
-33
-74
-14
-F0
-90
-00
-32
-E0
-FA
-1A
-90
-00
-32
-EA
-F0
-74
-3B
-B5
-02
-00
-50
-1F
-90
-00
-32
-74
-3B
-F0
-90
-00
-31
-E0
-FA
-1A
-90
-00
-31
-EA
-F0
-74
-17
-B5
-02
-00
-50
-07
-90
-00
-14
-E0
-44
-10
-F0
-22
-90
-00
-14
-E0
-20
-E1
-01
-22
-90
-00
-14
-E0
-54
-FD
-F0
-90
-00
-14
-E0
-30
-E2
-01
-22
-90
-00
-29
-E0
-FA
-60
-01
-22
-75
-82
-0C
-12
-10
-71
-90
-00
-2E
-E0
-14
-75
-F0
-03
-A4
-24
-3B
-F5
-82
-E4
-34
-03
-F5
-83
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-8A
-82
-8B
-83
-8C
-F0
-12
-10
-72
-75
-82
-0A
-12
-10
-71
-90
-00
-2E
-E0
-FA
-BA
-01
-1C
-90
-00
-40
-74
-06
-F0
-A3
-74
-00
-F0
-A3
-E4
-F0
-90
-00
-43
-F0
-90
-00
-51
-75
-F0
-00
-12
-05
-8A
-80
-4A
-BA
-02
-1C
-90
-00
-40
-74
-10
-F0
-A3
-74
-00
-F0
-A3
-E4
-F0
-90
-00
-43
-F0
-90
-00
-51
-75
-F0
-00
-12
-05
-8A
-80
-2B
-BA
-03
-1C
-90
-00
-49
-74
-30
-F0
-A3
-74
-00
-F0
-A3
-E4
-F0
-90
-00
-4C
-F0
-90
-00
-51
-75
-F0
-00
-12
-06
-D9
-80
-0C
-BA
-04
-09
-90
-00
-51
-75
-F0
-00
-12
-05
-89
-90
-00
-51
-75
-F0
-00
-02
-10
-72
-90
-00
-29
-E0
-FA
-70
-01
-22
-75
-82
-0C
-12
-10
-71
-90
-00
-29
-E0
-14
-75
-F0
-03
-A4
-24
-2C
-F5
-82
-E4
-34
-03
-F5
-83
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-8A
-82
-8B
-83
-8C
-F0
-12
-10
-72
-75
-82
-0A
-12
-10
-71
-90
-00
-29
-E0
-FA
-BA
-01
-22
-90
-00
-2A
-E0
-75
-F0
-03
-A4
-24
-2C
-F5
-82
-E4
-34
-03
-F5
-83
-E0
-FB
-A3
-E0
-FC
-A3
-E0
-FD
-8B
-82
-8C
-83
-8D
-F0
-02
-10
-72
-BA
-02
-2A
-90
-00
-2D
-E0
-FB
-0B
-90
-00
-40
-74
-06
-F0
-A3
-74
-00
-F0
-A3
-E4
-F0
-90
-00
-43
-EB
-F0
-90
-00
-91
-75
-F0
-00
-12
-05
-8A
-90
-00
-91
-75
-F0
-00
-02
-10
-72
-BA
-03
-2A
-90
-00
-2D
-E0
-FB
-0B
-90
-00
-40
-74
-10
-F0
-A3
-74
-00
-F0
-A3
-E4
-F0
-90
-00
-43
-EB
-F0
-90
-00
-91
-75
-F0
-00
-12
-05
-8A
-90
-00
-91
-75
-F0
-00
-02
-10
-72
-BA
-04
-2A
-90
-00
-2D
-E0
-FB
-0B
-90
-00
-49
-74
-30
-F0
-A3
-74
-00
-F0
-A3
-E4
-F0
-90
-00
-4C
-EB
-F0
-90
-00
-91
-75
-F0
-00
-12
-06
-D9
-90
-00
-91
-75
-F0
-00
-02
-10
-72
-BA
-05
-25
-90
-00
-40
-74
-10
-F0
-A3
-74
-00
-F0
-A3
-E4
-F0
-90
-00
-43
-74
-01
-F0
-90
-00
-91
-75
-F0
-00
-12
-05
-8A
-90
-00
-91
-75
-F0
-00
-02
-10
-72
-22
-90
-00
-29
-E0
-FA
-70
-1D
-90
-00
-2E
-E0
-24
-01
-F0
-90
-00
-2E
-E0
-FB
-BB
-07
-06
-90
-00
-2E
-74
-01
-F0
-90
-00
-14
-E0
-44
-02
-F0
-22
-BA
-01
-19
-90
-00
-2A
-E0
-24
-01
-F0
-90
-00
-2A
-E0
-FB
-BB
-07
-02
-80
-01
-22
-90
-00
-2A
-74
-01
-F0
-22
-BA
-02
-19
-90
-00
-2D
-E0
-24
-06
-FB
-E4
-34
-00
-FC
-8B
-82
-8C
-83
-E0
-FD
-0D
-8B
-82
-8C
-83
-ED
-F0
-22
-BA
-03
-19
-90
-00
-2D
-E0
-24
-10
-FB
-E4
-34
-00
-FC
-8B
-82
-8C
-83
-E0
-FD
-0D
-8B
-82
-8C
-83
-ED
-F0
-22
-BA
-04
-27
-90
-00
-2D
-E0
-24
-30
-FA
-E4
-34
-00
-FB
-8A
-82
-8B
-83
-E0
-FC
-0C
-8A
-82
-8B
-83
-EC
-F0
-90
-00
-D1
-E0
-FD
-EC
-B5
-05
-06
-8A
-82
-8B
-83
-E4
-F0
-22
-90
-00
-29
-E0
-FA
-70
-1A
-90
-00
-2E
-E0
-14
-90
-00
-2E
-F0
-FB
-70
-06
-90
-00
-2E
-74
-06
-F0
-90
-00
-14
-E0
-44
-02
-F0
-22
-BA
-01
-14
-90
-00
-2A
-E0
-14
-90
-00
-2A
-F0
-FB
-60
-01
-22
-90
-00
-2A
-74
-06
-F0
-22
-BA
-02
-19
-90
-00
-2D
-E0
-24
-06
-FB
-E4
-34
-00
-FC
-8B
-82
-8C
-83
-E0
-FD
-1D
-8B
-82
-8C
-83
-ED
-F0
-22
-BA
-03
-19
-90
-00
-2D
-E0
-24
-10
-FB
-E4
-34
-00
-FC
-8B
-82
-8C
-83
-E0
-FD
-1D
-8B
-82
-8C
-83
-ED
-F0
-22
-BA
-04
-25
-90
-00
-2D
-E0
-24
-30
-FA
-E4
-34
-00
-FB
-8A
-82
-8B
-83
-E0
-FC
-1C
-8A
-82
-8B
-83
-EC
-F0
-BC
-FF
-0A
-90
-00
-D2
-E0
-FC
-8A
-82
-8B
-83
-F0
-22
-22
-90
-00
-2F
-E4
-F0
-90
-00
-33
-F0
-90
-00
-14
-F0
-90
-00
-15
-F0
-90
-00
-29
-E4
-F0
-90
-00
-2A
-F0
-90
-00
-2B
-F0
-90
-00
-2C
-F0
-90
-00
-2D
-E4
-F0
-90
-00
-2E
-74
-01
-F0
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-00
-DB
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-00
-DB
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-0E
-2A
-F5
-0F
-E4
-3B
-F5
-10
-8C
-11
-85
-0F
-82
-85
-10
-83
-85
-11
-F0
-74
-45
-12
-43
-BA
-74
-0F
-2A
-F8
-E4
-3B
-F9
-8C
-05
-88
-82
-89
-83
-8D
-F0
-E4
-12
-43
-BA
-74
-10
-2A
-F5
-12
-E4
-3B
-F5
-13
-8C
-14
-90
-00
-D5
-E0
-F8
-A3
-E0
-85
-12
-82
-85
-13
-83
-85
-14
-F0
-12
-43
-BA
-74
-11
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-E8
-12
-43
-BA
-74
-12
-2A
-F5
-12
-E4
-3B
-F5
-13
-8C
-14
-90
-00
-D3
-E0
-F8
-A3
-E0
-F9
-85
-12
-82
-85
-13
-83
-85
-14
-F0
-12
-43
-BA
-74
-13
-2A
-F5
-12
-E4
-3B
-F5
-13
-8C
-14
-88
-05
-85
-12
-82
-85
-13
-83
-85
-14
-F0
-ED
-12
-43
-BA
-90
-00
-D3
-74
-01
-28
-F0
-E4
-39
-A3
-F0
-74
-14
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-E4
-12
-43
-BA
-74
-15
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-E4
-12
-43
-BA
-74
-16
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-74
-80
-12
-43
-BA
-74
-17
-2A
-FD
-E4
-3B
-FE
-8C
-07
-90
-00
-D7
-E0
-8D
-82
-8E
-83
-8F
-F0
-12
-43
-BA
-90
-00
-D8
-E0
-F5
-12
-A3
-E0
-F5
-13
-A3
-E0
-F5
-14
-78
-00
-B8
-04
-00
-50
-60
-88
-15
-75
-16
-00
-74
-1E
-25
-15
-FE
-E4
-35
-16
-FF
-EE
-2A
-F5
-17
-EF
-3B
-F5
-18
-8C
-19
-E8
-25
-12
-F9
-E4
-35
-13
-FD
-AE
-14
-89
-82
-8D
-83
-8E
-F0
-12
-44
-80
-85
-17
-82
-85
-18
-83
-85
-19
-F0
-12
-43
-BA
-74
-1A
-25
-15
-FD
-E4
-35
-16
-FE
-ED
-2A
-FD
-EE
-3B
-FE
-8C
-07
-E8
-24
-06
-F5
-82
-E4
-34
-00
-F5
-83
-E0
-F9
-8D
-82
-8E
-83
-8F
-F0
-12
-43
-BA
-08
-80
-9B
-74
-18
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-E4
-12
-43
-BA
-74
-19
-2A
-FA
-E4
-3B
-FB
-8A
-82
-8B
-83
-8C
-F0
-E4
-12
-43
-BA
-90
-02
-A2
-74
-14
-F0
-A3
-E4
-F0
-90
-02
-A4
-F0
-A3
-F0
-A3
-F0
-A3
-F0
-85
-0F
-82
-85
-10
-83
-85
-11
-F0
-C0
-02
-C0
-03
-C0
-04
-C0
-05
-C0
-06
-C0
-07
-12
-39
-9B
-A8
-82
-A9
-83
-D0
-07
-D0
-06
-D0
-05
-D0
-04
-D0
-03
-D0
-02
-90
-00
-DE
-E8
-F0
-A3
-E9
-F0
-90
-00
-DF
-E0
-8D
-82
-8E
-83
-8F
-F0
-12
-43
-BA
-90
-00
-DE
-E0
-8A
-82
-8B
-83
-8C
-F0
-02
-43
-BA
-AA
-F0
-AB
-83
-E5
-82
-90
-00
-E0
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-75
-80
-30
-90
-00
-E0
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-0C
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-BD
-08
-14
-74
-0D
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-60
-04
-75
-82
-00
-22
-75
-80
-31
-7D
-00
-BD
-04
-00
-50
-36
-8D
-06
-7F
-00
-74
-1E
-2E
-FE
-E4
-3F
-FF
-EE
-2A
-FE
-EF
-3B
-FF
-8C
-00
-8E
-82
-8F
-83
-88
-F0
-12
-44
-80
-FE
-ED
-24
-06
-F5
-82
-E4
-34
-00
-F5
-83
-E0
-FF
-EE
-B5
-07
-02
-80
-04
-75
-82
-00
-22
-0D
-80
-C5
-75
-80
-32
-75
-82
-01
-22
-22
-22
-22
-22
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-00
-E5
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-00
-E5
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-FD
-60
-23
-0A
-BA
-00
-01
-0B
-90
-00
-E5
-EA
-F0
-A3
-EB
-F0
-A3
-EC
-F0
-8D
-82
-C0
-02
-C0
-03
-C0
-04
-12
-10
-71
-D0
-04
-D0
-03
-D0
-02
-80
-D1
-90
-00
-E5
-EA
-F0
-A3
-EB
-F0
-A3
-EC
-F0
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-00
-E8
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-00
-E8
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-FD
-A3
-AA
-82
-AB
-83
-90
-00
-E8
-EA
-F0
-A3
-EB
-F0
-A3
-EC
-F0
-ED
-60
-13
-8D
-82
-C0
-02
-C0
-03
-C0
-04
-12
-10
-71
-D0
-04
-D0
-03
-D0
-02
-80
-D0
-90
-00
-E8
-EA
-F0
-A3
-EB
-F0
-A3
-EC
-F0
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-00
-EB
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-75
-80
-50
-90
-00
-EB
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-10
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FE
-7D
-00
-74
-11
-2A
-FF
-E4
-3B
-F8
-8C
-01
-8F
-82
-88
-83
-89
-F0
-12
-44
-80
-FF
-78
-00
-42
-05
-E8
-42
-06
-ED
-24
-EC
-FD
-EE
-34
-FF
-FE
-74
-2E
-2A
-FA
-E4
-3B
-FB
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-C4
-54
-0F
-FA
-75
-F0
-04
-A4
-D3
-9D
-F4
-B3
-FD
-EE
-95
-F0
-FE
-90
-00
-EE
-ED
-F0
-A3
-EE
-F0
-C3
-E4
-9D
-74
-80
-8E
-F0
-63
-F0
-80
-95
-F0
-40
-07
-90
-00
-EE
-E4
-F0
-A3
-F0
-75
-80
-51
-90
-00
-EE
-E0
-FA
-A3
-E0
-8A
-82
-F5
-83
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-00
-F0
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-75
-80
-52
-90
-00
-F0
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-2E
-2A
-FA
-E4
-3B
-FB
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-C4
-54
-0F
-25
-E0
-25
-E0
-F5
-82
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-00
-F8
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-75
-80
-53
-90
-00
-F8
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-00
-F6
-E0
-FD
-A3
-E0
-FE
-90
-00
-F3
-E0
-FF
-A3
-E0
-F8
-A3
-E0
-F9
-8F
-82
-88
-83
-89
-F0
-12
-44
-80
-F5
-56
-A3
-AF
-82
-A8
-83
-E5
-56
-60
-2D
-C0
-07
-C0
-00
-C0
-01
-74
-36
-2D
-FF
-E4
-3E
-F8
-EF
-2A
-FF
-E8
-3B
-F8
-8C
-01
-8F
-82
-88
-83
-89
-F0
-E5
-56
-12
-43
-BA
-0D
-BD
-00
-01
-0E
-D0
-01
-D0
-00
-D0
-07
-80
-BF
-8D
-82
-8E
-83
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-01
-00
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-75
-80
-54
-90
-01
-00
-E0
-F5
-57
-A3
-E0
-F5
-58
-A3
-E0
-F5
-59
-90
-00
-FB
-E0
-FD
-A3
-E0
-FE
-A3
-E0
-FF
-90
-00
-FE
-E0
-F8
-A3
-E0
-F9
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-F5
-56
-60
-28
-74
-36
-28
-FA
-E4
-39
-FB
-EA
-25
-57
-FA
-EB
-35
-58
-FB
-AC
-59
-0D
-BD
-00
-01
-0E
-8A
-82
-8B
-83
-8C
-F0
-E5
-56
-12
-43
-BA
-08
-B8
-00
-CE
-09
-80
-CB
-88
-82
-89
-83
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-01
-14
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-75
-80
-55
-90
-01
-14
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-01
-0E
-E0
-FD
-A3
-E0
-FE
-A3
-E0
-FF
-90
-02
-9A
-E4
-F0
-A3
-74
-08
-F0
-90
-02
-9C
-ED
-F0
-A3
-EE
-F0
-A3
-EF
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-38
-D8
-75
-80
-56
-90
-01
-0A
-E0
-FA
-A3
-E0
-FB
-4A
-70
-03
-02
-13
-D8
-7A
-04
-EA
-60
-04
-7B
-01
-80
-02
-7B
-00
-EB
-70
-03
-02
-13
-D8
-8A
-21
-75
-22
-00
-74
-25
-25
-21
-FD
-E4
-35
-22
-FE
-90
-01
-14
-E0
-FF
-A3
-E0
-F8
-A3
-E0
-F9
-ED
-2F
-F5
-23
-EE
-38
-F5
-24
-89
-25
-85
-23
-82
-85
-24
-83
-85
-25
-F0
-12
-44
-80
-FC
-7B
-00
-90
-01
-0A
-E0
-FD
-A3
-E0
-FE
-90
-01
-0A
-ED
-2C
-F0
-EE
-3B
-A3
-F0
-74
-29
-25
-21
-FB
-E4
-35
-22
-FC
-EB
-2F
-FF
-EC
-38
-F8
-8F
-82
-88
-83
-89
-F0
-12
-44
-80
-F5
-21
-90
-01
-0A
-E0
-FC
-A3
-E0
-FD
-8C
-06
-7B
-00
-8F
-82
-88
-83
-89
-F0
-EE
-12
-43
-BA
-85
-23
-82
-85
-24
-83
-85
-25
-F0
-E5
-21
-12
-43
-BA
-8D
-04
-7D
-00
-90
-01
-0A
-EC
-F0
-A3
-ED
-F0
-1A
-02
-13
-37
-75
-80
-57
-90
-01
-08
-E0
-FA
-70
-03
-02
-14
-BE
-90
-01
-14
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-26
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-E4
-12
-43
-BA
-74
-27
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-E4
-12
-43
-BA
-74
-28
-2A
-FD
-E4
-3B
-FE
-8C
-07
-90
-03
-47
-E0
-F8
-8D
-82
-8E
-83
-8F
-F0
-12
-43
-BA
-74
-29
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-E4
-12
-43
-BA
-90
-03
-47
-74
-02
-28
-F0
-74
-36
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-74
-02
-12
-43
-BA
-74
-37
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-74
-04
-12
-43
-BA
-74
-38
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-74
-05
-12
-43
-BA
-74
-39
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-74
-80
-12
-43
-BA
-74
-2E
-2A
-FA
-E4
-3B
-FB
-8A
-82
-8B
-83
-8C
-F0
-74
-60
-12
-43
-BA
-90
-01
-0C
-E0
-FA
-A3
-E0
-FB
-90
-01
-0C
-74
-04
-2A
-F0
-E4
-3B
-A3
-F0
-80
-1D
-90
-01
-14
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-2E
-2A
-FA
-E4
-3B
-FB
-8A
-82
-8B
-83
-8C
-F0
-74
-50
-12
-43
-BA
-75
-80
-58
-90
-01
-14
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-01
-0C
-E0
-FD
-A3
-E0
-FE
-74
-28
-2D
-F5
-23
-E4
-3E
-F5
-24
-90
-01
-11
-E0
-F9
-A3
-E0
-FF
-A3
-E0
-F8
-90
-00
-D5
-E5
-23
-F0
-A3
-E5
-24
-F0
-90
-00
-D7
-74
-06
-F0
-90
-00
-D8
-E9
-F0
-A3
-EF
-F0
-A3
-E8
-F0
-8A
-82
-8B
-83
-8C
-F0
-C0
-05
-C0
-06
-12
-0D
-D8
-D0
-06
-D0
-05
-75
-80
-59
-90
-01
-09
-E0
-FA
-60
-55
-90
-01
-14
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-2A
-2A
-FF
-E4
-3B
-F8
-8C
-01
-8F
-82
-88
-83
-89
-F0
-E4
-12
-43
-BA
-74
-2B
-2A
-FF
-E4
-3B
-F8
-8C
-01
-8F
-82
-88
-83
-89
-F0
-E4
-12
-43
-BA
-74
-2C
-2A
-FF
-E4
-3B
-F8
-8C
-01
-8F
-82
-88
-83
-89
-F0
-E4
-12
-43
-BA
-74
-2D
-2A
-FA
-E4
-3B
-FB
-8A
-82
-8B
-83
-8C
-F0
-E4
-12
-43
-BA
-75
-80
-5A
-90
-01
-14
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-2F
-2A
-F5
-23
-E4
-3B
-F5
-24
-8C
-25
-90
-01
-07
-E0
-85
-23
-82
-85
-24
-83
-85
-25
-F0
-12
-43
-BA
-74
-24
-2A
-F5
-23
-E4
-3B
-F5
-24
-8C
-25
-90
-01
-03
-E0
-F5
-21
-A3
-E0
-F5
-22
-A9
-22
-85
-23
-82
-85
-24
-83
-85
-25
-F0
-E9
-12
-43
-BA
-74
-25
-2A
-F5
-23
-E4
-3B
-F5
-24
-8C
-25
-AF
-21
-85
-23
-82
-85
-24
-83
-85
-25
-F0
-EF
-12
-43
-BA
-74
-22
-2A
-F5
-23
-E4
-3B
-F5
-24
-8C
-25
-90
-01
-05
-E0
-F5
-21
-A3
-E0
-F5
-22
-A9
-22
-85
-23
-82
-85
-24
-83
-85
-25
-F0
-E9
-12
-43
-BA
-74
-23
-2A
-F5
-23
-E4
-3B
-F5
-24
-8C
-25
-AF
-21
-85
-23
-82
-85
-24
-83
-85
-25
-F0
-EF
-12
-43
-BA
-74
-30
-2A
-FF
-E4
-3B
-F8
-8C
-01
-8F
-82
-88
-83
-89
-F0
-74
-05
-12
-43
-BA
-74
-31
-2A
-FF
-E4
-3B
-F8
-8C
-01
-8F
-82
-88
-83
-89
-F0
-74
-BA
-12
-43
-BA
-74
-34
-2A
-FF
-E4
-3B
-F8
-8C
-01
-8F
-82
-88
-83
-89
-F0
-E4
-12
-43
-BA
-74
-35
-2A
-FF
-E4
-3B
-F8
-8C
-01
-8F
-82
-88
-83
-89
-F0
-E4
-12
-43
-BA
-74
-32
-2A
-FF
-E4
-3B
-F8
-8C
-01
-8F
-82
-88
-83
-89
-F0
-E4
-12
-43
-BA
-74
-33
-2A
-FF
-E4
-3B
-F8
-8C
-01
-8F
-82
-88
-83
-89
-F0
-E4
-12
-43
-BA
-75
-80
-5B
-74
-1A
-2A
-FA
-E4
-3B
-FB
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-FA
-7B
-00
-7C
-00
-90
-02
-A2
-74
-1C
-2D
-F0
-E4
-3E
-A3
-F0
-74
-1A
-2D
-FF
-E4
-3E
-F8
-90
-02
-A4
-EF
-F0
-A3
-E8
-F0
-A3
-E4
-F0
-A3
-F0
-8A
-82
-8B
-83
-8C
-F0
-C0
-05
-C0
-06
-12
-39
-9B
-85
-82
-23
-85
-83
-24
-D0
-06
-D0
-05
-90
-01
-14
-E0
-FC
-A3
-E0
-FF
-A3
-E0
-F8
-74
-32
-2C
-F5
-26
-E4
-3F
-F5
-27
-88
-28
-AA
-24
-85
-26
-82
-85
-27
-83
-85
-28
-F0
-EA
-12
-43
-BA
-74
-33
-2C
-FC
-E4
-3F
-FF
-AA
-23
-8C
-82
-8F
-83
-88
-F0
-EA
-12
-43
-BA
-75
-80
-5C
-90
-02
-E1
-74
-36
-2D
-F0
-E4
-3E
-A3
-F0
-90
-01
-14
-75
-F0
-00
-12
-40
-74
-75
-80
-5D
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-01
-1B
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-01
-1B
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-22
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-74
-0B
-12
-43
-BA
-74
-23
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-74
-B8
-12
-43
-BA
-74
-24
-2A
-F5
-29
-E4
-3B
-F5
-2A
-8C
-2B
-90
-01
-17
-E0
-F8
-A3
-E0
-85
-29
-82
-85
-2A
-83
-85
-2B
-F0
-12
-43
-BA
-74
-25
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-E8
-12
-43
-BA
-74
-26
-2A
-F5
-29
-E4
-3B
-F5
-2A
-8C
-2B
-90
-01
-19
-E0
-F8
-A3
-E0
-F9
-85
-29
-82
-85
-2A
-83
-85
-2B
-F0
-12
-43
-BA
-74
-27
-2A
-F5
-29
-E4
-3B
-F5
-2A
-8C
-2B
-88
-05
-85
-29
-82
-85
-2A
-83
-85
-2B
-F0
-ED
-12
-43
-BA
-74
-28
-2A
-F5
-29
-E4
-3B
-F5
-2A
-8C
-2B
-85
-29
-82
-85
-2A
-83
-85
-2B
-F0
-E4
-12
-43
-BA
-74
-29
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-E4
-12
-43
-BA
-74
-1A
-2A
-FA
-E4
-3B
-FB
-90
-02
-A2
-74
-08
-28
-F0
-E4
-39
-A3
-F0
-74
-11
-28
-F8
-E4
-39
-F9
-90
-02
-A4
-E8
-F0
-A3
-E9
-F0
-A3
-E4
-F0
-A3
-F0
-8A
-82
-8B
-83
-8C
-F0
-C0
-05
-C0
-06
-C0
-07
-12
-39
-9B
-AB
-83
-D0
-07
-D0
-06
-D0
-05
-8B
-02
-85
-29
-82
-85
-2A
-83
-85
-2B
-F0
-EA
-12
-43
-BA
-8D
-82
-8E
-83
-8F
-F0
-E4
-02
-43
-BA
-AA
-F0
-AB
-83
-E5
-82
-90
-01
-23
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-01
-23
-E0
-F5
-57
-A3
-E0
-F5
-58
-A3
-E0
-F5
-59
-90
-01
-1E
-E0
-FD
-A3
-E0
-FE
-A3
-E0
-FF
-90
-01
-21
-E0
-F8
-A3
-E0
-F9
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-F5
-56
-60
-28
-74
-2A
-28
-FA
-E4
-39
-FB
-EA
-25
-57
-FA
-EB
-35
-58
-FB
-AC
-59
-0D
-BD
-00
-01
-0E
-8A
-82
-8B
-83
-8C
-F0
-E5
-56
-12
-43
-BA
-08
-B8
-00
-CE
-09
-80
-CB
-88
-82
-89
-83
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-01
-2C
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-01
-2C
-E0
-F5
-2E
-A3
-E0
-F5
-2F
-A3
-E0
-F5
-30
-74
-17
-25
-2E
-FD
-E4
-35
-2F
-FE
-AF
-30
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-BD
-11
-32
-74
-24
-25
-2E
-FD
-E4
-35
-2F
-FE
-AF
-30
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-BD
-0B
-1A
-74
-25
-25
-2E
-FD
-E4
-35
-2F
-FE
-AF
-30
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-BD
-B8
-02
-80
-04
-75
-82
-00
-22
-74
-2A
-25
-2E
-FD
-E4
-35
-2F
-FE
-AF
-30
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-7E
-00
-BD
-47
-5D
-BE
-00
-5A
-74
-2B
-25
-2E
-FF
-E4
-35
-2F
-F8
-A9
-30
-8F
-82
-88
-83
-89
-F0
-12
-44
-80
-FF
-78
-00
-BF
-41
-40
-B8
-00
-3D
-74
-2C
-25
-2E
-FF
-E4
-35
-2F
-F8
-A9
-30
-8F
-82
-88
-83
-89
-F0
-12
-44
-80
-FF
-78
-00
-BF
-0D
-23
-B8
-00
-20
-74
-2D
-25
-2E
-FF
-E4
-35
-2F
-F8
-A9
-30
-8F
-82
-88
-83
-89
-F0
-12
-44
-80
-FF
-78
-00
-BF
-0A
-06
-B8
-00
-03
-02
-1D
-AF
-E4
-BD
-53
-04
-BE
-00
-01
-04
-FF
-70
-03
-02
-1A
-71
-C0
-07
-74
-2B
-25
-2E
-F8
-E4
-35
-2F
-F9
-AF
-30
-88
-82
-89
-83
-8F
-F0
-12
-44
-80
-F8
-7F
-00
-B8
-54
-05
-BF
-00
-02
-80
-04
-D0
-07
-80
-78
-74
-31
-25
-2E
-F8
-E4
-35
-2F
-F9
-AF
-30
-88
-82
-89
-83
-8F
-F0
-12
-44
-80
-F8
-7F
-00
-B8
-0D
-05
-BF
-00
-02
-80
-04
-D0
-07
-80
-55
-74
-32
-25
-2E
-F8
-E4
-35
-2F
-F9
-AF
-30
-88
-82
-89
-83
-8F
-F0
-12
-44
-80
-F8
-7F
-00
-B8
-0A
-05
-BF
-00
-02
-80
-04
-D0
-07
-80
-32
-D0
-07
-90
-01
-1E
-74
-1F
-F0
-A3
-74
-45
-F0
-A3
-74
-80
-F0
-90
-01
-21
-E4
-F0
-A3
-F0
-85
-2E
-82
-85
-2F
-83
-85
-30
-F0
-12
-18
-78
-A8
-82
-A9
-83
-90
-01
-2F
-E8
-F0
-A3
-E9
-F0
-02
-1D
-AF
-EF
-70
-03
-02
-1B
-43
-C0
-07
-74
-2B
-25
-2E
-F8
-E4
-35
-2F
-F9
-AF
-30
-88
-82
-89
-83
-8F
-F0
-12
-44
-80
-F8
-7F
-00
-B8
-49
-05
-BF
-00
-02
-80
-05
-D0
-07
-02
-1B
-43
-D0
-07
-78
-2A
-B8
-AA
-00
-50
-63
-88
-2C
-75
-2D
-00
-C0
-00
-74
-2A
-25
-2C
-F9
-E4
-35
-2D
-F8
-E9
-25
-2E
-F9
-E8
-35
-2F
-F8
-AA
-30
-89
-82
-88
-83
-8A
-F0
-12
-44
-80
-F9
-7A
-00
-B9
-0D
-05
-BA
-00
-02
-80
-04
-D0
-00
-80
-2E
-D0
-00
-74
-2B
-25
-2C
-FA
-E4
-35
-2D
-FB
-EA
-25
-2E
-FA
-EB
-35
-2F
-FB
-AC
-30
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-FA
-7B
-00
-BA
-0A
-0A
-BB
-00
-07
-90
-01
-73
-E4
-F0
-80
-03
-08
-80
-98
-90
-01
-73
-E0
-FA
-70
-03
-02
-1D
-AF
-90
-01
-1E
-74
-26
-F0
-A3
-74
-45
-F0
-A3
-74
-80
-F0
-90
-01
-21
-E4
-F0
-A3
-F0
-85
-2E
-82
-85
-2F
-83
-85
-30
-F0
-12
-18
-78
-AA
-82
-AB
-83
-90
-01
-2F
-EA
-F0
-A3
-EB
-F0
-02
-1D
-AF
-BD
-57
-05
-BE
-00
-02
-80
-03
-02
-1C
-F6
-74
-2B
-25
-2E
-FA
-E4
-35
-2F
-FB
-AC
-30
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-FA
-7B
-00
-BA
-4C
-05
-BB
-00
-02
-80
-03
-02
-1C
-F6
-7A
-2A
-BA
-AA
-00
-50
-54
-8A
-03
-7C
-00
-74
-2A
-2B
-FD
-E4
-3C
-FE
-ED
-25
-2E
-FD
-EE
-35
-2F
-FE
-A8
-30
-8D
-82
-8E
-83
-88
-F0
-12
-44
-80
-FD
-7E
-00
-BD
-0D
-2D
-BE
-00
-2A
-74
-2B
-2B
-FB
-E4
-3C
-FC
-EB
-25
-2E
-FB
-EC
-35
-2F
-FC
-AD
-30
-8B
-82
-8C
-83
-8D
-F0
-12
-44
-80
-FB
-7C
-00
-BB
-0A
-0A
-BC
-00
-07
-90
-01
-73
-E4
-F0
-80
-03
-0A
-80
-A7
-90
-01
-73
-E0
-FA
-60
-03
-02
-1C
-C6
-7A
-00
-8A
-03
-7C
-00
-74
-2A
-2B
-FD
-E4
-3C
-FE
-ED
-25
-2E
-FD
-EE
-35
-2F
-FE
-A8
-30
-8D
-82
-8E
-83
-88
-F0
-12
-44
-80
-FD
-7E
-00
-BD
-3B
-05
-BE
-00
-02
-80
-03
-0A
-80
-D4
-74
-2A
-2B
-FB
-E4
-3C
-FC
-EB
-25
-2E
-FB
-EC
-35
-2F
-FC
-AD
-30
-8B
-82
-8C
-83
-8D
-F0
-74
-0A
-12
-43
-BA
-8A
-03
-7C
-00
-74
-2A
-2B
-FD
-E4
-3C
-FE
-ED
-25
-2E
-FD
-EE
-35
-2F
-FE
-A8
-30
-8D
-82
-8E
-83
-88
-F0
-12
-44
-80
-FD
-7E
-00
-BD
-3B
-05
-BE
-00
-02
-80
-03
-0A
-80
-D4
-74
-2A
-2B
-FB
-E4
-3C
-FC
-EB
-25
-2E
-FB
-EC
-35
-2F
-FC
-AA
-30
-8B
-82
-8C
-83
-8A
-F0
-E4
-12
-43
-BA
-75
-82
-0C
-12
-10
-71
-90
-01
-2C
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-2C
-2A
-FA
-E4
-3B
-FB
-8A
-82
-8B
-83
-8C
-F0
-12
-10
-72
-90
-00
-14
-E0
-44
-04
-F0
-90
-01
-2C
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-01
-1E
-74
-2E
-F0
-A3
-74
-45
-F0
-A3
-74
-80
-F0
-90
-01
-21
-E4
-F0
-A3
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-18
-78
-AA
-82
-AB
-83
-90
-01
-2F
-EA
-F0
-A3
-EB
-F0
-02
-1D
-AF
-90
-01
-1E
-74
-26
-F0
-A3
-74
-45
-F0
-A3
-74
-80
-F0
-90
-01
-21
-E4
-F0
-A3
-F0
-85
-2E
-82
-85
-2F
-83
-85
-30
-F0
-12
-18
-78
-AA
-82
-AB
-83
-90
-01
-2F
-EA
-F0
-A3
-EB
-F0
-02
-1D
-AF
-EF
-70
-03
-02
-1D
-82
-74
-2B
-25
-2E
-FA
-E4
-35
-2F
-FB
-AC
-30
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-FA
-7B
-00
-BA
-4C
-6C
-BB
-00
-69
-74
-2E
-25
-2E
-FA
-E4
-35
-2F
-FB
-AC
-30
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-FA
-7B
-00
-BA
-0D
-4F
-BB
-00
-4C
-74
-2F
-25
-2E
-FA
-E4
-35
-2F
-FB
-AC
-30
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-FA
-7B
-00
-BA
-0A
-32
-BB
-00
-2F
-90
-01
-1E
-74
-35
-F0
-A3
-74
-45
-F0
-A3
-74
-80
-F0
-90
-01
-21
-E4
-F0
-A3
-F0
-85
-2E
-82
-85
-2F
-83
-85
-30
-F0
-12
-18
-78
-AA
-82
-AB
-83
-90
-01
-2F
-EA
-F0
-A3
-EB
-F0
-80
-2D
-90
-01
-1E
-74
-26
-F0
-A3
-74
-45
-F0
-A3
-74
-80
-F0
-90
-01
-21
-E4
-F0
-A3
-F0
-85
-2E
-82
-85
-2F
-83
-85
-30
-F0
-12
-18
-78
-AA
-82
-AB
-83
-90
-01
-2F
-EA
-F0
-A3
-EB
-F0
-90
-01
-2C
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-01
-26
-E0
-FD
-A3
-E0
-FE
-A3
-E0
-FF
-90
-02
-9A
-E4
-F0
-A3
-74
-08
-F0
-90
-02
-9C
-ED
-F0
-A3
-EE
-F0
-A3
-EF
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-38
-D8
-90
-01
-2C
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-01
-2F
-E0
-FD
-A3
-E0
-FE
-74
-1C
-2D
-FD
-E4
-3E
-FE
-90
-01
-29
-E0
-FF
-A3
-E0
-F8
-A3
-E0
-F9
-90
-00
-D5
-ED
-F0
-A3
-EE
-F0
-90
-00
-D7
-74
-11
-F0
-90
-00
-D8
-EF
-F0
-A3
-E8
-F0
-A3
-E9
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-0D
-D8
-90
-01
-2C
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-22
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FE
-7D
-00
-74
-23
-2A
-FF
-E4
-3B
-F8
-8C
-01
-8F
-82
-88
-83
-89
-F0
-12
-44
-80
-78
-00
-42
-05
-E8
-42
-06
-90
-01
-2F
-E0
-FF
-A3
-E0
-F8
-74
-08
-2F
-FF
-E4
-38
-F8
-90
-01
-17
-ED
-F0
-A3
-EE
-F0
-90
-01
-19
-EF
-F0
-A3
-E8
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-17
-4A
-90
-01
-2F
-E0
-FA
-A3
-E0
-FB
-90
-02
-E1
-74
-2A
-2A
-F0
-E4
-3B
-A3
-F0
-90
-01
-2C
-75
-F0
-00
-12
-40
-74
-75
-82
-01
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-01
-7A
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-01
-7A
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-22
-2A
-FA
-E4
-3B
-FB
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-FA
-BA
-08
-38
-75
-80
-20
-90
-01
-77
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-7D
-00
-BD
-20
-00
-50
-23
-8D
-06
-7F
-00
-74
-2A
-2E
-FE
-E4
-3F
-FF
-EE
-2A
-FE
-EF
-3B
-FF
-8C
-00
-74
-41
-2D
-F9
-8E
-82
-8F
-83
-88
-F0
-12
-43
-BA
-0D
-80
-D8
-75
-80
-21
-90
-01
-77
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-24
-2A
-F5
-31
-E4
-3B
-F5
-32
-8C
-33
-85
-31
-82
-85
-32
-83
-85
-33
-F0
-E4
-12
-43
-BA
-74
-25
-2A
-F8
-E4
-3B
-F9
-8C
-05
-88
-82
-89
-83
-8D
-F0
-E4
-12
-43
-BA
-74
-22
-2A
-FA
-E4
-3B
-FB
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-FA
-7B
-00
-7C
-00
-90
-02
-A2
-74
-28
-F0
-A3
-E4
-F0
-90
-02
-A4
-F0
-A3
-F0
-A3
-F0
-A3
-F0
-8A
-82
-8B
-83
-8C
-F0
-C0
-05
-C0
-00
-C0
-01
-12
-39
-9B
-AA
-82
-AB
-83
-D0
-01
-D0
-00
-D0
-05
-75
-80
-22
-8B
-04
-85
-31
-82
-85
-32
-83
-85
-33
-F0
-EC
-12
-43
-BA
-88
-82
-89
-83
-8D
-F0
-EA
-02
-43
-BA
-AA
-F0
-AB
-83
-E5
-82
-90
-01
-86
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-01
-7D
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-F5
-34
-A3
-12
-44
-80
-F5
-35
-A3
-12
-44
-80
-F5
-36
-90
-01
-80
-E0
-F8
-A3
-E0
-F9
-A3
-E0
-FD
-90
-02
-9A
-E4
-F0
-A3
-74
-08
-F0
-90
-02
-9C
-E8
-F0
-A3
-E9
-F0
-A3
-ED
-F0
-85
-34
-82
-85
-35
-83
-85
-36
-F0
-C0
-02
-C0
-03
-C0
-04
-12
-38
-D8
-D0
-04
-D0
-03
-D0
-02
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-F5
-34
-A3
-12
-44
-80
-F5
-35
-A3
-12
-44
-80
-F5
-36
-90
-01
-83
-E0
-F8
-A3
-E0
-F9
-A3
-E0
-FD
-90
-00
-D5
-74
-3C
-F0
-A3
-E4
-F0
-90
-00
-D7
-74
-01
-F0
-90
-00
-D8
-E8
-F0
-A3
-E9
-F0
-A3
-ED
-F0
-85
-34
-82
-85
-35
-83
-85
-36
-F0
-C0
-02
-C0
-03
-C0
-04
-12
-0D
-D8
-D0
-04
-D0
-03
-D0
-02
-74
-66
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-A3
-12
-44
-80
-FE
-A3
-12
-44
-80
-FF
-8D
-82
-8E
-83
-8F
-F0
-74
-08
-12
-43
-BA
-74
-69
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-A3
-12
-44
-80
-FE
-A3
-12
-44
-80
-FF
-8D
-82
-8E
-83
-8F
-F0
-E4
-12
-43
-BA
-74
-72
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-A3
-12
-44
-80
-FE
-A3
-12
-44
-80
-FF
-90
-03
-48
-E0
-F8
-8D
-82
-8E
-83
-8F
-F0
-12
-43
-BA
-74
-75
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-A3
-12
-44
-80
-FE
-A3
-12
-44
-80
-FF
-8D
-82
-8E
-83
-8F
-F0
-E4
-12
-43
-BA
-74
-78
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-A3
-12
-44
-80
-FE
-A3
-12
-44
-80
-FF
-90
-03
-49
-E0
-F9
-8D
-82
-8E
-83
-8F
-F0
-12
-43
-BA
-74
-7B
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-A3
-12
-44
-80
-FE
-A3
-12
-44
-80
-FF
-8D
-82
-8E
-83
-8F
-F0
-E4
-12
-43
-BA
-90
-03
-48
-E8
-04
-F0
-90
-03
-49
-E9
-04
-F0
-90
-01
-86
-E0
-F5
-34
-A3
-E0
-F5
-35
-A3
-E0
-F5
-36
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-F8
-A3
-12
-44
-80
-F9
-A3
-12
-44
-80
-FD
-90
-01
-77
-E8
-F0
-A3
-E9
-F0
-A3
-ED
-F0
-85
-34
-82
-85
-35
-83
-85
-36
-F0
-C0
-02
-C0
-03
-C0
-04
-12
-1E
-AA
-D0
-04
-D0
-03
-D0
-02
-90
-02
-E1
-74
-4A
-F0
-A3
-E4
-F0
-8A
-82
-8B
-83
-8C
-F0
-02
-40
-74
-AA
-F0
-AB
-83
-E5
-82
-90
-01
-92
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-01
-92
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-17
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-BD
-01
-02
-80
-04
-75
-82
-00
-22
-75
-80
-23
-74
-22
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-BD
-08
-02
-80
-04
-75
-82
-00
-22
-75
-80
-24
-90
-01
-89
-E0
-F5
-37
-A3
-E0
-F5
-38
-A3
-E0
-F5
-39
-85
-37
-82
-85
-38
-83
-85
-39
-F0
-12
-44
-80
-F5
-3A
-A3
-12
-44
-80
-F5
-3B
-A3
-12
-44
-80
-F5
-3C
-90
-01
-8C
-E0
-FE
-A3
-E0
-FF
-A3
-E0
-FD
-90
-02
-9A
-E4
-F0
-A3
-74
-08
-F0
-90
-02
-9C
-EE
-F0
-A3
-EF
-F0
-A3
-ED
-F0
-85
-3A
-82
-85
-3B
-83
-85
-3C
-F0
-C0
-02
-C0
-03
-C0
-04
-12
-38
-D8
-D0
-04
-D0
-03
-D0
-02
-75
-80
-25
-85
-37
-82
-85
-38
-83
-85
-39
-F0
-12
-44
-80
-F5
-3A
-A3
-12
-44
-80
-F5
-3B
-A3
-12
-44
-80
-F5
-3C
-74
-10
-2A
-F8
-E4
-3B
-F9
-8C
-05
-88
-82
-89
-83
-8D
-F0
-12
-44
-80
-FD
-78
-00
-74
-11
-2A
-FE
-E4
-3B
-FF
-8C
-01
-8E
-82
-8F
-83
-89
-F0
-12
-44
-80
-7F
-00
-42
-00
-EF
-42
-05
-90
-01
-8F
-E0
-FE
-A3
-E0
-FF
-A3
-E0
-F9
-90
-00
-D5
-E8
-F0
-A3
-ED
-F0
-90
-00
-D7
-74
-01
-F0
-90
-00
-D8
-EE
-F0
-A3
-EF
-F0
-A3
-E9
-F0
-85
-3A
-82
-85
-3B
-83
-85
-3C
-F0
-C0
-02
-C0
-03
-C0
-04
-12
-0D
-D8
-D0
-04
-D0
-03
-D0
-02
-75
-80
-26
-74
-66
-25
-37
-FD
-E4
-35
-38
-FE
-AF
-39
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-A3
-12
-44
-80
-FE
-A3
-12
-44
-80
-FF
-8D
-82
-8E
-83
-8F
-F0
-E4
-12
-43
-BA
-85
-37
-82
-85
-38
-83
-85
-39
-F0
-12
-44
-80
-FD
-A3
-12
-44
-80
-FE
-A3
-12
-44
-80
-FF
-90
-01
-77
-ED
-F0
-A3
-EE
-F0
-A3
-EF
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-1E
-AA
-75
-80
-27
-90
-02
-E1
-74
-4A
-F0
-A3
-E4
-F0
-85
-37
-82
-85
-38
-83
-85
-39
-F0
-12
-40
-74
-75
-80
-28
-75
-82
-01
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-01
-9E
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-01
-9E
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-01
-98
-E0
-F5
-3D
-A3
-E0
-F5
-3E
-A3
-E0
-F5
-3F
-90
-01
-9B
-E0
-F8
-A3
-E0
-F9
-A3
-E0
-FD
-90
-02
-CE
-E5
-3D
-F0
-A3
-E5
-3E
-F0
-A3
-E5
-3F
-F0
-90
-02
-D1
-E8
-F0
-A3
-E9
-F0
-A3
-ED
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-3F
-71
-E5
-82
-70
-03
-F5
-82
-22
-75
-80
-29
-90
-01
-9E
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-01
-95
-E0
-F5
-3D
-A3
-E0
-F5
-3E
-A3
-E0
-F5
-3F
-90
-01
-9B
-E0
-F8
-A3
-E0
-F9
-A3
-E0
-FD
-90
-01
-7D
-E5
-3D
-F0
-A3
-E5
-3E
-F0
-A3
-E5
-3F
-F0
-90
-01
-80
-74
-0A
-F0
-A3
-74
-00
-F0
-A3
-E4
-F0
-90
-01
-83
-E8
-F0
-A3
-E9
-F0
-A3
-ED
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-1F
-A7
-90
-01
-9E
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-17
-2A
-FD
-E4
-3B
-FE
-8C
-07
-78
-00
-B8
-0A
-00
-40
-03
-02
-24
-E0
-C0
-05
-C0
-06
-C0
-07
-90
-00
-0A
-C0
-02
-C0
-03
-C0
-04
-C0
-05
-C0
-06
-C0
-07
-C0
-00
-12
-03
-18
-D0
-00
-D0
-07
-D0
-06
-D0
-05
-90
-01
-95
-E0
-F9
-A3
-E0
-FD
-A3
-E0
-FE
-90
-02
-E6
-74
-EE
-F0
-A3
-74
-05
-F0
-89
-82
-8D
-83
-8E
-F0
-C0
-05
-C0
-06
-C0
-07
-C0
-00
-12
-41
-87
-E5
-82
-85
-83
-F0
-D0
-00
-D0
-07
-D0
-06
-D0
-05
-D0
-04
-D0
-03
-D0
-02
-D0
-07
-D0
-06
-D0
-05
-45
-F0
-60
-37
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-F9
-B9
-01
-2A
-C0
-05
-C0
-06
-C0
-07
-75
-80
-2A
-74
-22
-2A
-F9
-E4
-3B
-FD
-8C
-06
-89
-82
-8D
-83
-8E
-F0
-12
-44
-80
-D0
-07
-D0
-06
-D0
-05
-70
-07
-75
-80
-2B
-75
-82
-01
-22
-08
-02
-24
-38
-75
-82
-00
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-01
-AA
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-01
-AA
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-22
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FE
-7D
-00
-74
-23
-2A
-FF
-E4
-3B
-F8
-8C
-01
-8F
-82
-88
-83
-89
-F0
-12
-44
-80
-78
-00
-4D
-F5
-41
-E8
-4E
-F5
-42
-75
-80
-40
-74
-17
-2A
-FF
-E4
-3B
-F8
-8C
-01
-8F
-82
-88
-83
-89
-F0
-12
-44
-80
-FF
-BF
-06
-02
-80
-01
-22
-74
-24
-2A
-FF
-E4
-3B
-F8
-8C
-01
-8F
-82
-88
-83
-89
-F0
-12
-44
-80
-60
-01
-22
-74
-25
-2A
-FF
-E4
-3B
-F8
-8C
-01
-8F
-82
-88
-83
-89
-F0
-12
-44
-80
-FF
-BF
-50
-02
-80
-01
-22
-75
-80
-41
-74
-2F
-2A
-FF
-E4
-3B
-F8
-8C
-01
-8F
-82
-88
-83
-89
-F0
-12
-44
-80
-F5
-40
-20
-E1
-03
-02
-26
-43
-75
-80
-42
-90
-01
-A1
-E0
-F5
-43
-A3
-E0
-F5
-44
-A3
-E0
-F5
-45
-85
-43
-82
-85
-44
-83
-85
-45
-F0
-12
-44
-80
-F5
-43
-A3
-12
-44
-80
-F5
-44
-A3
-12
-44
-80
-F5
-45
-90
-01
-A4
-E0
-F5
-46
-A3
-E0
-F5
-47
-A3
-E0
-F5
-48
-90
-01
-A7
-E0
-F5
-49
-A3
-E0
-F5
-4A
-A3
-E0
-F5
-4B
-90
-01
-03
-E5
-41
-F0
-A3
-E5
-42
-F0
-90
-01
-05
-74
-50
-F0
-A3
-E4
-F0
-90
-01
-07
-74
-12
-F0
-90
-01
-08
-74
-01
-F0
-90
-01
-09
-E4
-F0
-90
-01
-0A
-74
-01
-F0
-A3
-E4
-F0
-90
-01
-0C
-F0
-A3
-F0
-90
-01
-0E
-E5
-46
-F0
-A3
-E5
-47
-F0
-A3
-E5
-48
-F0
-90
-01
-11
-E5
-49
-F0
-A3
-E5
-4A
-F0
-A3
-E5
-4B
-F0
-85
-43
-82
-85
-44
-83
-85
-45
-F0
-12
-12
-DE
-75
-80
-43
-90
-00
-14
-E0
-44
-40
-F0
-22
-E5
-40
-20
-E4
-01
-22
-75
-80
-44
-8A
-82
-8B
-83
-8C
-F0
-C0
-02
-C0
-03
-C0
-04
-C0
-07
-C0
-00
-C0
-01
-12
-11
-1F
-AD
-82
-AE
-83
-D0
-01
-D0
-00
-D0
-07
-D0
-04
-D0
-03
-D0
-02
-75
-80
-45
-ED
-4E
-60
-03
-02
-27
-24
-75
-80
-46
-8F
-82
-88
-83
-89
-F0
-12
-44
-80
-FF
-20
-E0
-01
-22
-75
-80
-47
-90
-01
-A1
-E0
-FF
-A3
-E0
-F8
-A3
-E0
-F9
-8F
-82
-88
-83
-89
-F0
-12
-44
-80
-F5
-49
-A3
-12
-44
-80
-F5
-4A
-A3
-12
-44
-80
-F5
-4B
-90
-01
-A4
-E0
-F5
-46
-A3
-E0
-F5
-47
-A3
-E0
-F5
-48
-90
-01
-A7
-E0
-FF
-A3
-E0
-F8
-A3
-E0
-F9
-90
-01
-03
-E5
-41
-F0
-A3
-E5
-42
-F0
-90
-01
-05
-74
-50
-F0
-A3
-E4
-F0
-90
-01
-07
-74
-10
-F0
-90
-01
-08
-E4
-F0
-90
-01
-09
-F0
-90
-01
-0A
-74
-01
-F0
-A3
-E4
-F0
-90
-01
-0C
-F0
-A3
-F0
-90
-01
-0E
-E5
-46
-F0
-A3
-E5
-47
-F0
-A3
-E5
-48
-F0
-90
-01
-11
-EF
-F0
-A3
-E8
-F0
-A3
-E9
-F0
-85
-49
-82
-85
-4A
-83
-85
-4B
-F0
-12
-12
-DE
-75
-80
-48
-22
-90
-01
-F8
-ED
-F0
-A3
-EE
-F0
-90
-01
-FA
-74
-3C
-F0
-A3
-74
-45
-F0
-A3
-74
-80
-F0
-90
-01
-FD
-74
-B0
-F0
-A3
-74
-01
-F0
-A3
-E4
-F0
-8A
-82
-8B
-83
-8C
-F0
-C0
-02
-C0
-03
-C0
-04
-C0
-05
-C0
-06
-12
-2A
-AB
-E5
-82
-D0
-06
-D0
-05
-D0
-04
-D0
-03
-D0
-02
-70
-03
-02
-28
-84
-75
-80
-49
-90
-01
-F8
-ED
-F0
-A3
-EE
-F0
-90
-01
-FA
-74
-3F
-F0
-A3
-74
-45
-F0
-A3
-74
-80
-F0
-90
-01
-FD
-74
-B0
-F0
-A3
-74
-01
-F0
-A3
-E4
-F0
-8A
-82
-8B
-83
-8C
-F0
-C0
-02
-C0
-03
-C0
-04
-C0
-05
-C0
-06
-12
-2A
-AB
-E5
-82
-D0
-06
-D0
-05
-D0
-04
-D0
-03
-D0
-02
-60
-08
-90
-01
-AD
-74
-01
-F0
-80
-05
-90
-01
-AD
-E4
-F0
-90
-01
-F8
-ED
-F0
-A3
-EE
-F0
-90
-01
-FA
-74
-42
-F0
-A3
-74
-45
-F0
-A3
-74
-80
-F0
-90
-01
-FD
-74
-B0
-F0
-A3
-74
-01
-F0
-A3
-E4
-F0
-8A
-82
-8B
-83
-8C
-F0
-C0
-02
-C0
-03
-C0
-04
-C0
-05
-C0
-06
-12
-2A
-AB
-E5
-82
-D0
-06
-D0
-05
-D0
-04
-D0
-03
-D0
-02
-60
-20
-90
-01
-B0
-E0
-24
-D0
-75
-F0
-0A
-A4
-90
-01
-AE
-F0
-90
-01
-AE
-E0
-FF
-90
-01
-B1
-E0
-F8
-24
-D0
-2F
-FF
-90
-01
-AE
-F0
-90
-01
-F8
-ED
-F0
-A3
-EE
-F0
-90
-01
-FA
-74
-44
-F0
-A3
-74
-45
-F0
-A3
-74
-80
-F0
-90
-01
-FD
-74
-B0
-F0
-A3
-74
-01
-F0
-A3
-E4
-F0
-8A
-82
-8B
-83
-8C
-F0
-C0
-02
-C0
-03
-C0
-04
-12
-2A
-AB
-E5
-82
-D0
-04
-D0
-03
-D0
-02
-60
-20
-90
-01
-B0
-E0
-24
-D0
-75
-F0
-0A
-A4
-90
-01
-AF
-F0
-90
-01
-AF
-E0
-FD
-90
-01
-B1
-E0
-FE
-24
-D0
-2D
-FD
-90
-01
-AF
-F0
-90
-00
-33
-E4
-F0
-75
-80
-4A
-8A
-82
-8B
-83
-8C
-F0
-12
-2F
-C2
-85
-82
-49
-85
-83
-4A
-90
-01
-A1
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-8C
-82
-8D
-83
-8E
-F0
-12
-44
-80
-F5
-46
-A3
-12
-44
-80
-F5
-47
-A3
-12
-44
-80
-F5
-48
-90
-01
-A4
-E0
-F5
-43
-A3
-E0
-F5
-44
-A3
-E0
-F5
-45
-90
-01
-A7
-E0
-F8
-A3
-E0
-F9
-A3
-E0
-FA
-90
-01
-03
-E5
-41
-F0
-A3
-E5
-42
-F0
-90
-01
-05
-74
-50
-F0
-A3
-E4
-F0
-90
-01
-07
-74
-10
-F0
-90
-01
-08
-E4
-F0
-90
-01
-09
-F0
-90
-01
-0A
-74
-01
-F0
-A3
-E4
-F0
-90
-01
-0C
-F0
-A3
-F0
-90
-01
-0E
-E5
-43
-F0
-A3
-E5
-44
-F0
-A3
-E5
-45
-F0
-90
-01
-11
-E8
-F0
-A3
-E9
-F0
-A3
-EA
-F0
-85
-46
-82
-85
-47
-83
-85
-48
-F0
-C0
-04
-C0
-05
-C0
-06
-12
-12
-DE
-D0
-06
-D0
-05
-D0
-04
-75
-80
-4B
-8C
-82
-8D
-83
-8E
-F0
-12
-44
-80
-FC
-A3
-12
-44
-80
-FD
-A3
-12
-44
-80
-FE
-90
-01
-A4
-E0
-F5
-46
-A3
-E0
-F5
-47
-A3
-E0
-F5
-48
-90
-01
-A7
-E0
-F8
-A3
-E0
-F9
-A3
-E0
-FA
-90
-01
-03
-E5
-41
-F0
-A3
-E5
-42
-F0
-90
-01
-05
-74
-50
-F0
-A3
-E4
-F0
-90
-01
-07
-74
-19
-F0
-90
-01
-08
-E4
-F0
-90
-01
-09
-F0
-90
-01
-0A
-F0
-A3
-F0
-90
-01
-0C
-E5
-49
-F0
-A3
-E5
-4A
-F0
-90
-01
-0E
-E5
-46
-F0
-A3
-E5
-47
-F0
-A3
-E5
-48
-F0
-90
-01
-11
-E8
-F0
-A3
-E9
-F0
-A3
-EA
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-DE
-75
-80
-4C
-90
-00
-14
-E0
-54
-BF
-F0
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-01
-F3
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-01
-F6
-74
-01
-F0
-90
-01
-F7
-E4
-F0
-90
-01
-F0
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-7D
-00
-90
-01
-F3
-E0
-FE
-A3
-E0
-FF
-A3
-E0
-F8
-8E
-82
-8F
-83
-88
-F0
-12
-44
-80
-F9
-A3
-AE
-82
-AF
-83
-90
-01
-F3
-EE
-F0
-A3
-EF
-F0
-A3
-E8
-F0
-89
-56
-75
-57
-00
-C3
-E5
-56
-94
-30
-E5
-57
-64
-80
-94
-80
-40
-3E
-74
-39
-95
-56
-74
-80
-85
-57
-F0
-63
-F0
-80
-95
-F0
-40
-2E
-C0
-02
-C0
-03
-C0
-04
-E9
-24
-D0
-FA
-90
-01
-F6
-E0
-FB
-90
-01
-F7
-E0
-FC
-8C
-F0
-EB
-A4
-90
-01
-F7
-2A
-F0
-90
-01
-F6
-EB
-75
-F0
-0A
-A4
-F0
-D0
-04
-D0
-03
-D0
-02
-80
-41
-74
-2E
-B5
-56
-06
-E4
-B5
-57
-02
-80
-03
-E9
-70
-2F
-C0
-06
-C0
-07
-C0
-00
-ED
-2A
-F9
-E4
-3B
-FE
-8C
-07
-90
-01
-F7
-E0
-89
-82
-8E
-83
-8F
-F0
-12
-43
-BA
-0D
-90
-01
-F6
-74
-01
-F0
-90
-01
-F7
-E4
-F0
-D0
-00
-D0
-07
-D0
-06
-80
-04
-75
-82
-00
-22
-BD
-04
-02
-80
-03
-02
-29
-F5
-8D
-82
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-02
-00
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-02
-08
-E4
-F0
-90
-01
-FA
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-02
-05
-EA
-F0
-A3
-EB
-F0
-A3
-EC
-F0
-90
-02
-00
-E0
-FD
-A3
-E0
-FE
-A3
-E0
-FF
-8D
-82
-8E
-83
-8F
-F0
-C0
-02
-C0
-03
-C0
-04
-12
-11
-C1
-AD
-82
-D0
-04
-D0
-03
-D0
-02
-7E
-00
-74
-22
-2D
-FD
-E4
-3E
-FE
-75
-80
-4D
-90
-02
-00
-E0
-FF
-A3
-E0
-F8
-A3
-E0
-F9
-90
-01
-F8
-E0
-F5
-4C
-A3
-E0
-F5
-4D
-C3
-ED
-95
-4C
-EE
-95
-4D
-50
-35
-C0
-02
-C0
-03
-C0
-04
-ED
-2F
-FA
-EE
-38
-FB
-89
-04
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-FA
-7B
-00
-BA
-3F
-0B
-BB
-00
-08
-D0
-04
-D0
-03
-D0
-02
-80
-0D
-D0
-04
-D0
-03
-D0
-02
-0D
-BD
-00
-C5
-0E
-80
-C2
-90
-02
-03
-ED
-F0
-A3
-EE
-F0
-ED
-B5
-4C
-08
-EE
-B5
-4D
-04
-75
-82
-00
-22
-75
-80
-4E
-C3
-ED
-95
-4C
-EE
-95
-4D
-40
-03
-02
-2C
-3C
-C0
-02
-C0
-03
-C0
-04
-90
-02
-05
-E0
-FF
-A3
-E0
-F8
-A3
-E0
-F9
-8F
-82
-88
-83
-89
-F0
-12
-44
-80
-FF
-90
-02
-09
-F0
-90
-02
-00
-E0
-F5
-4E
-A3
-E0
-F5
-4F
-A3
-E0
-F5
-50
-ED
-25
-4E
-F8
-EE
-35
-4F
-F9
-AA
-50
-88
-82
-89
-83
-8A
-F0
-12
-44
-80
-F8
-7A
-00
-B8
-3D
-05
-BA
-00
-02
-80
-08
-D0
-04
-D0
-03
-D0
-02
-80
-1D
-D0
-04
-D0
-03
-D0
-02
-90
-02
-08
-E0
-F8
-60
-10
-EF
-70
-0D
-90
-02
-03
-74
-01
-2D
-F0
-E4
-3E
-A3
-F0
-80
-52
-ED
-25
-4E
-FF
-EE
-35
-4F
-F8
-A9
-50
-8F
-82
-88
-83
-89
-F0
-12
-44
-80
-FF
-90
-02
-09
-E0
-F8
-EF
-B5
-00
-15
-90
-02
-05
-E0
-24
-01
-F0
-A3
-E0
-34
-00
-F0
-90
-02
-08
-E0
-24
-01
-F0
-80
-10
-90
-02
-05
-EA
-F0
-A3
-EB
-F0
-A3
-EC
-F0
-90
-02
-08
-E4
-F0
-0D
-BD
-00
-01
-0E
-90
-02
-03
-ED
-F0
-A3
-EE
-F0
-02
-2B
-6E
-75
-80
-4F
-90
-02
-08
-E0
-FA
-70
-03
-02
-2C
-DC
-90
-01
-FD
-E0
-F5
-53
-A3
-E0
-F5
-54
-A3
-E0
-F5
-55
-90
-02
-00
-E0
-FD
-A3
-E0
-FE
-A3
-E0
-FF
-78
-00
-90
-02
-03
-E0
-F5
-4E
-A3
-E0
-F5
-4F
-E5
-4E
-2D
-F9
-E5
-4F
-3E
-FA
-8F
-03
-89
-82
-8A
-83
-8B
-F0
-12
-44
-80
-F5
-4C
-85
-4C
-51
-75
-52
-00
-74
-26
-B5
-51
-06
-E4
-B5
-52
-02
-80
-0D
-74
-20
-B5
-51
-06
-E4
-B5
-52
-02
-80
-02
-80
-1B
-90
-02
-08
-E8
-F0
-E8
-25
-53
-F9
-E4
-35
-54
-FA
-AB
-55
-89
-82
-8A
-83
-8B
-F0
-E4
-12
-43
-BA
-80
-20
-E8
-25
-53
-FA
-E4
-35
-54
-FB
-AC
-55
-8A
-82
-8B
-83
-8C
-F0
-E5
-4C
-12
-43
-BA
-08
-05
-4E
-E4
-B5
-4E
-96
-05
-4F
-80
-92
-90
-02
-08
-E0
-F5
-82
-22
-E5
-82
-90
-02
-0A
-F0
-FA
-C3
-64
-80
-94
-B0
-40
-11
-74
-B9
-8A
-F0
-63
-F0
-80
-95
-F0
-40
-06
-EA
-24
-D0
-F5
-82
-22
-C3
-EA
-64
-80
-94
-E1
-40
-11
-74
-E6
-8A
-F0
-63
-F0
-80
-95
-F0
-40
-06
-74
-A9
-2A
-F5
-82
-22
-C3
-EA
-64
-80
-94
-C1
-40
-11
-74
-C6
-8A
-F0
-63
-F0
-80
-95
-F0
-40
-06
-74
-C9
-2A
-F5
-82
-22
-75
-82
-00
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-02
-0B
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-02
-0B
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-02
-0B
-E0
-FD
-A3
-E0
-FE
-A3
-E0
-FF
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-90
-02
-0E
-F0
-ED
-70
-03
-02
-2E
-21
-7E
-00
-BD
-2B
-09
-BE
-00
-06
-90
-02
-0E
-74
-20
-F0
-90
-02
-0E
-E0
-FD
-7E
-00
-BD
-25
-75
-BE
-00
-72
-90
-02
-0B
-E0
-24
-01
-F0
-A3
-E0
-34
-00
-F0
-90
-02
-0B
-E0
-FD
-A3
-E0
-FE
-A3
-E0
-FF
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-90
-02
-0E
-F0
-90
-02
-0B
-74
-01
-2D
-F0
-E4
-3E
-A3
-F0
-A3
-EF
-F0
-90
-02
-0E
-E0
-F5
-82
-C0
-02
-C0
-03
-C0
-04
-12
-2C
-E3
-E5
-82
-C4
-54
-F0
-FD
-90
-02
-0B
-E0
-FE
-A3
-E0
-FF
-A3
-E0
-F8
-8E
-82
-8F
-83
-88
-F0
-12
-44
-80
-F5
-82
-C0
-05
-12
-2C
-E3
-AE
-82
-D0
-05
-D0
-04
-D0
-03
-D0
-02
-90
-02
-0E
-EE
-4D
-F0
-90
-02
-0E
-E0
-FD
-8A
-82
-8B
-83
-8C
-F0
-12
-43
-BA
-A3
-AA
-82
-AB
-83
-90
-02
-0B
-E0
-24
-01
-F0
-A3
-E0
-34
-00
-F0
-02
-2D
-53
-8A
-82
-8B
-83
-8C
-F0
-E4
-02
-43
-BA
-AA
-F0
-AB
-83
-E5
-82
-90
-02
-0F
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-00
-3A
-74
-02
-F0
-90
-00
-3B
-74
-01
-F0
-A3
-E4
-F0
-90
-02
-12
-75
-F0
-00
-12
-03
-7F
-90
-02
-14
-E4
-F0
-90
-02
-0F
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-00
-FB
-74
-46
-F0
-A3
-74
-45
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-E4
-F0
-A3
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-0F
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-12
-F0
-A3
-74
-02
-F0
-A3
-E4
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-0F
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-6C
-F0
-A3
-74
-45
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-0F
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-78
-F0
-A3
-74
-45
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-0F
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-8A
-F0
-A3
-74
-45
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-0F
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-A5
-F0
-A3
-74
-45
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-0F
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-B9
-F0
-A3
-74
-45
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-0F
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-CB
-F0
-A3
-74
-45
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-02
-12
-70
-AA
-F0
-AB
-83
-E5
-82
-90
-02
-52
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-02
-52
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-00
-FB
-74
-E6
-F0
-A3
-74
-45
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-E4
-F0
-A3
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-13
-F0
-A3
-74
-46
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-4A
-F0
-A3
-74
-03
-F0
-A3
-E4
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-1B
-F0
-A3
-74
-46
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-24
-F0
-A3
-74
-46
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-4A
-F0
-A3
-74
-03
-F0
-A3
-E4
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-7C
-F0
-A3
-74
-46
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-6C
-F0
-A3
-74
-03
-F0
-A3
-E4
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-90
-F0
-A3
-74
-46
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-99
-F0
-A3
-74
-46
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-AD
-F0
-A3
-74
-46
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-C7
-F0
-A3
-74
-46
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-CD
-F0
-A3
-74
-46
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-99
-F0
-A3
-74
-46
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-DE
-F0
-A3
-74
-46
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-C7
-F0
-A3
-74
-46
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-F8
-F0
-A3
-74
-46
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-07
-F0
-A3
-74
-47
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-00
-3A
-74
-04
-F0
-90
-00
-3B
-74
-01
-F0
-A3
-E4
-F0
-90
-02
-5A
-75
-F0
-00
-C0
-02
-C0
-03
-12
-03
-7F
-D0
-03
-D0
-02
-90
-02
-5E
-E4
-F0
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-5A
-F0
-A3
-74
-02
-F0
-A3
-E4
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-0F
-F0
-A3
-74
-47
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-00
-3A
-74
-02
-F0
-90
-00
-3B
-74
-02
-F0
-A3
-E4
-F0
-90
-02
-5A
-75
-F0
-00
-C0
-02
-C0
-03
-12
-03
-7F
-D0
-03
-D0
-02
-90
-02
-5C
-E4
-F0
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-5A
-F0
-A3
-74
-02
-F0
-A3
-E4
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-26
-F0
-A3
-74
-47
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-8B
-F0
-A3
-74
-03
-F0
-A3
-E4
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-31
-F0
-A3
-74
-47
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-55
-EA
-F0
-A3
-EB
-F0
-90
-02
-57
-E0
-60
-37
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-8F
-F0
-A3
-74
-47
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-E5
-82
-85
-83
-F0
-90
-02
-55
-F0
-A3
-E5
-F0
-F0
-90
-02
-52
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-02
-55
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-97
-F0
-A3
-74
-47
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-ED
-F0
-A3
-EE
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-A1
-F0
-A3
-74
-47
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-58
-E0
-FC
-7D
-00
-90
-00
-3A
-74
-02
-F0
-90
-00
-3B
-EC
-F0
-A3
-ED
-F0
-90
-02
-5A
-75
-F0
-00
-C0
-02
-C0
-03
-12
-03
-7F
-D0
-03
-D0
-02
-90
-02
-5C
-E4
-F0
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-5A
-F0
-A3
-74
-02
-F0
-A3
-E4
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-DC
-F0
-A3
-74
-47
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-59
-E0
-FC
-7D
-00
-90
-00
-3A
-74
-02
-F0
-90
-00
-3B
-EC
-F0
-A3
-ED
-F0
-90
-02
-5A
-75
-F0
-00
-C0
-02
-C0
-03
-12
-03
-7F
-D0
-03
-D0
-02
-90
-02
-5C
-E4
-F0
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-5A
-F0
-A3
-74
-02
-F0
-A3
-E4
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-20
-F0
-A3
-74
-48
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-8B
-F0
-A3
-74
-03
-F0
-A3
-E4
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-52
-F0
-A3
-74
-48
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-00
-40
-74
-06
-F0
-A3
-74
-00
-F0
-A3
-E4
-F0
-90
-00
-43
-F0
-90
-02
-5A
-75
-F0
-00
-C0
-02
-C0
-03
-12
-05
-8A
-D0
-03
-D0
-02
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-5A
-F0
-A3
-74
-02
-F0
-A3
-E4
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-91
-F0
-A3
-74
-48
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-8B
-F0
-A3
-74
-03
-F0
-A3
-E4
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-C0
-F0
-A3
-74
-48
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-00
-40
-74
-10
-F0
-A3
-74
-00
-F0
-A3
-E4
-F0
-90
-00
-43
-F0
-90
-02
-5A
-75
-F0
-00
-C0
-02
-C0
-03
-12
-05
-8A
-D0
-03
-D0
-02
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-5A
-F0
-A3
-74
-02
-F0
-A3
-E4
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-FF
-F0
-A3
-74
-48
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-8B
-F0
-A3
-74
-03
-F0
-A3
-E4
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-31
-F0
-A3
-74
-49
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-79
-F0
-A3
-74
-49
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-C1
-F0
-A3
-74
-49
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-6C
-F0
-A3
-74
-03
-F0
-A3
-E4
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-12
-12
-70
-AA
-82
-AB
-83
-90
-02
-52
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-90
-00
-FB
-74
-F0
-F0
-A3
-74
-49
-F0
-A3
-74
-80
-F0
-90
-00
-FE
-EA
-F0
-A3
-EB
-F0
-8C
-82
-8D
-83
-8E
-F0
-02
-12
-70
-AA
-F0
-AB
-83
-E5
-82
-90
-02
-9F
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-02
-9F
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-02
-9C
-E0
-F5
-56
-A3
-E0
-F5
-57
-A3
-E0
-F5
-58
-78
-00
-B8
-06
-00
-50
-59
-88
-59
-75
-5A
-00
-E5
-59
-2A
-F5
-5B
-E5
-5A
-3B
-F5
-5C
-8C
-5D
-E8
-25
-56
-F9
-E4
-35
-57
-FD
-AE
-58
-89
-82
-8D
-83
-8E
-F0
-12
-44
-80
-85
-5B
-82
-85
-5C
-83
-85
-5D
-F0
-12
-43
-BA
-74
-06
-25
-59
-FD
-E4
-35
-5A
-FE
-ED
-2A
-FD
-EE
-3B
-FE
-8C
-07
-E8
-24
-00
-F5
-82
-E4
-34
-00
-F5
-83
-E0
-F9
-8D
-82
-8E
-83
-8F
-F0
-12
-43
-BA
-08
-80
-A2
-90
-02
-9F
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-0C
-2A
-F5
-5B
-E4
-3B
-F5
-5C
-8C
-5D
-90
-02
-9A
-E0
-F8
-A3
-E0
-85
-5B
-82
-85
-5C
-83
-85
-5D
-F0
-12
-43
-BA
-74
-0D
-2A
-FA
-E4
-3B
-FB
-8A
-82
-8B
-83
-8C
-F0
-E8
-02
-43
-BA
-AA
-F0
-AB
-83
-E5
-82
-90
-02
-A8
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-02
-A8
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-02
-A2
-E0
-F5
-58
-A3
-E0
-F5
-59
-C3
-74
-01
-95
-58
-E4
-95
-59
-50
-7A
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-FF
-8F
-57
-75
-56
-00
-74
-01
-2A
-F9
-E4
-3B
-FF
-8C
-00
-89
-82
-8F
-83
-88
-F0
-12
-44
-80
-F9
-7F
-00
-42
-56
-EF
-42
-57
-90
-02
-A4
-E0
-F5
-5A
-A3
-E0
-F5
-5B
-A3
-E0
-F5
-5C
-A3
-E0
-F5
-5D
-AE
-56
-AD
-57
-7F
-00
-78
-00
-90
-02
-A4
-EE
-25
-5A
-F0
-ED
-35
-5B
-A3
-F0
-EF
-35
-5C
-A3
-F0
-E8
-35
-5D
-A3
-F0
-74
-02
-2A
-FA
-E4
-3B
-FB
-90
-02
-A8
-EA
-F0
-A3
-EB
-F0
-A3
-EC
-F0
-E5
-58
-24
-FE
-F5
-58
-E5
-59
-34
-FF
-F5
-59
-02
-39
-C0
-90
-02
-A8
-EA
-F0
-A3
-EB
-F0
-A3
-EC
-F0
-E5
-58
-45
-59
-60
-32
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-FB
-7A
-00
-90
-02
-A4
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-A3
-E0
-FF
-EB
-33
-95
-E0
-F8
-F9
-90
-02
-A4
-EA
-2C
-F0
-EB
-3D
-A3
-F0
-E8
-3E
-A3
-F0
-E9
-3F
-A3
-F0
-90
-02
-A4
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-A3
-E0
-FD
-8C
-5A
-8D
-5B
-E4
-F5
-5C
-F5
-5D
-E5
-5A
-45
-5B
-45
-5C
-45
-5D
-60
-20
-8A
-06
-8B
-07
-78
-00
-79
-00
-90
-02
-A4
-E5
-5A
-2E
-F0
-E5
-5B
-3F
-A3
-F0
-E5
-5C
-38
-A3
-F0
-E5
-5D
-39
-A3
-F0
-80
-BF
-63
-02
-FF
-63
-03
-FF
-8A
-82
-8B
-83
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-02
-B1
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-02
-B1
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-0E
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-E4
-12
-43
-BA
-74
-0F
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-74
-01
-12
-43
-BA
-74
-10
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-74
-08
-12
-43
-BA
-74
-11
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-E4
-12
-43
-BA
-74
-12
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-74
-06
-12
-43
-BA
-74
-13
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-74
-04
-12
-43
-BA
-90
-02
-AB
-E0
-F5
-56
-A3
-E0
-F5
-57
-A3
-E0
-F5
-58
-78
-00
-B8
-06
-00
-50
-60
-88
-59
-75
-5A
-00
-74
-20
-25
-59
-FE
-E4
-35
-5A
-FF
-EE
-2A
-F5
-5B
-EF
-3B
-F5
-5C
-8C
-5D
-E8
-25
-56
-F9
-E4
-35
-57
-FD
-AE
-58
-89
-82
-8D
-83
-8E
-F0
-12
-44
-80
-85
-5B
-82
-85
-5C
-83
-85
-5D
-F0
-12
-43
-BA
-74
-16
-25
-59
-FD
-E4
-35
-5A
-FE
-ED
-2A
-FD
-EE
-3B
-FE
-8C
-07
-E8
-24
-00
-F5
-82
-E4
-34
-00
-F5
-83
-E0
-F9
-8D
-82
-8E
-83
-8F
-F0
-12
-43
-BA
-08
-80
-9B
-90
-02
-AE
-E0
-F5
-5B
-A3
-E0
-F5
-5C
-A3
-E0
-F5
-5D
-78
-00
-B8
-04
-00
-50
-60
-88
-59
-75
-5A
-00
-74
-26
-25
-59
-FE
-E4
-35
-5A
-FF
-EE
-2A
-F5
-56
-EF
-3B
-F5
-57
-8C
-58
-E8
-25
-5B
-F9
-E4
-35
-5C
-FD
-AE
-5D
-89
-82
-8D
-83
-8E
-F0
-12
-44
-80
-85
-56
-82
-85
-57
-83
-85
-58
-F0
-12
-43
-BA
-74
-1C
-25
-59
-FD
-E4
-35
-5A
-FE
-ED
-2A
-FD
-EE
-3B
-FE
-8C
-07
-E8
-24
-06
-F5
-82
-E4
-34
-00
-F5
-83
-E0
-F9
-8D
-82
-8E
-83
-8F
-F0
-12
-43
-BA
-08
-80
-9B
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-02
-B7
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-7A
-00
-BA
-06
-00
-50
-10
-EA
-24
-BA
-F5
-82
-E4
-34
-02
-F5
-83
-74
-FF
-F0
-0A
-80
-EB
-90
-02
-B7
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-02
-9A
-74
-06
-F0
-A3
-74
-08
-F0
-90
-02
-9C
-74
-BA
-F0
-A3
-74
-02
-F0
-A3
-E4
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-38
-D8
-7A
-00
-BA
-06
-00
-50
-0F
-EA
-24
-BA
-F5
-82
-E4
-34
-02
-F5
-83
-E4
-F0
-0A
-80
-EC
-90
-02
-B7
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-14
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-E4
-12
-43
-BA
-74
-15
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-74
-01
-12
-43
-BA
-90
-02
-B4
-E0
-FD
-A3
-E0
-FE
-A3
-E0
-FF
-90
-02
-AB
-74
-BA
-F0
-A3
-74
-02
-F0
-A3
-E4
-F0
-90
-02
-AE
-ED
-F0
-A3
-EE
-F0
-A3
-EF
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-3A
-D3
-75
-80
-10
-90
-02
-E1
-74
-2A
-F0
-A3
-E4
-F0
-90
-02
-B7
-75
-F0
-00
-12
-40
-74
-75
-80
-11
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-02
-C2
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-02
-C2
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-74
-0C
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-BD
-08
-18
-74
-0D
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-BD
-06
-02
-80
-04
-75
-82
-00
-22
-75
-80
-12
-74
-14
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-7E
-00
-90
-02
-C0
-E0
-FF
-A3
-E0
-F8
-F9
-C0
-02
-C0
-03
-C0
-04
-7A
-00
-ED
-B5
-01
-06
-EE
-B5
-02
-02
-80
-08
-D0
-04
-D0
-03
-D0
-02
-80
-26
-D0
-04
-D0
-03
-D0
-02
-74
-15
-2A
-FD
-E4
-3B
-FE
-8C
-01
-8D
-82
-8E
-83
-89
-F0
-12
-44
-80
-FD
-E4
-F8
-FE
-ED
-B5
-07
-06
-EE
-B5
-00
-02
-80
-04
-75
-82
-00
-22
-75
-80
-13
-7D
-00
-BD
-04
-00
-50
-36
-8D
-06
-7F
-00
-74
-26
-2E
-FE
-E4
-3F
-FF
-EE
-2A
-FE
-EF
-3B
-FF
-8C
-00
-8E
-82
-8F
-83
-88
-F0
-12
-44
-80
-FE
-ED
-24
-06
-F5
-82
-E4
-34
-00
-F5
-83
-E0
-FF
-EE
-B5
-07
-02
-80
-04
-75
-82
-00
-22
-0D
-80
-C5
-75
-80
-14
-75
-82
-01
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-02
-CB
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-02
-C5
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-F5
-1A
-A3
-12
-44
-80
-F5
-1B
-A3
-12
-44
-80
-F5
-1C
-90
-02
-C8
-E0
-F8
-A3
-E0
-F9
-A3
-E0
-FD
-90
-02
-9A
-74
-06
-F0
-A3
-74
-08
-F0
-90
-02
-9C
-E8
-F0
-A3
-E9
-F0
-A3
-ED
-F0
-85
-1A
-82
-85
-1B
-83
-85
-1C
-F0
-C0
-02
-C0
-03
-C0
-04
-12
-38
-D8
-D0
-04
-D0
-03
-D0
-02
-75
-80
-15
-74
-3C
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-A3
-12
-44
-80
-FE
-A3
-12
-44
-80
-FF
-8D
-82
-8E
-83
-8F
-F0
-E4
-12
-43
-BA
-74
-3F
-2A
-FD
-E4
-3B
-FE
-8C
-07
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FD
-A3
-12
-44
-80
-FE
-A3
-12
-44
-80
-FF
-8D
-82
-8E
-83
-8F
-F0
-74
-02
-12
-43
-BA
-75
-80
-16
-8A
-82
-8B
-83
-8C
-F0
-12
-44
-80
-F5
-1A
-A3
-12
-44
-80
-F5
-1B
-A3
-12
-44
-80
-F5
-1C
-90
-02
-C8
-E0
-F5
-1D
-A3
-E0
-F5
-1E
-A3
-E0
-F5
-1F
-90
-02
-CB
-E0
-FE
-A3
-E0
-FF
-A3
-E0
-FD
-74
-1C
-2E
-FE
-E4
-3F
-FF
-8E
-82
-8F
-83
-8D
-F0
-12
-44
-80
-FE
-7D
-00
-7F
-00
-90
-02
-AB
-E5
-1D
-F0
-A3
-E5
-1E
-F0
-A3
-E5
-1F
-F0
-90
-02
-AE
-EE
-F0
-A3
-ED
-F0
-A3
-EF
-F0
-85
-1A
-82
-85
-1B
-83
-85
-1C
-F0
-C0
-02
-C0
-03
-C0
-04
-12
-3A
-D3
-D0
-04
-D0
-03
-D0
-02
-75
-80
-17
-90
-02
-E1
-74
-2A
-F0
-A3
-E4
-F0
-8A
-82
-8B
-83
-8C
-F0
-02
-40
-74
-AA
-F0
-AB
-83
-E5
-82
-90
-02
-D4
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-02
-D4
-E0
-FA
-A3
-E0
-FB
-A3
-E0
-FC
-90
-02
-D1
-E0
-FD
-A3
-E0
-FE
-A3
-E0
-FF
-90
-02
-B4
-ED
-F0
-A3
-EE
-F0
-A3
-EF
-F0
-8A
-82
-8B
-83
-8C
-F0
-12
-3C
-4F
-75
-80
-18
-7A
-00
-BA
-0A
-00
-40
-03
-02
-40
-41
-90
-00
-0A
-C0
-02
-12
-03
-18
-90
-02
-E6
-74
-EE
-F0
-A3
-74
-05
-F0
-90
-02
-D4
-75
-F0
-00
-12
-41
-87
-E5
-82
-85
-83
-F0
-D0
-02
-45
-F0
-60
-5F
-90
-02
-D4
-E0
-FB
-A3
-E0
-FC
-A3
-E0
-FD
-90
-02
-C0
-74
-02
-F0
-A3
-E4
-F0
-8B
-82
-8C
-83
-8D
-F0
-C0
-02
-12
-3D
-2E
-E5
-82
-D0
-02
-60
-3A
-90
-02
-CE
-E0
-FB
-A3
-E0
-FC
-A3
-E0
-FD
-90
-02
-D4
-E0
-FE
-A3
-E0
-FF
-A3
-E0
-F8
-90
-02
-F5
-74
-06
-2E
-F0
-E4
-3F
-A3
-F0
-A3
-E8
-F0
-90
-02
-F8
-74
-06
-F0
-A3
-E4
-F0
-8B
-82
-8C
-83
-8D
-F0
-12
-43
-44
-75
-82
-01
-22
-0A
-02
-3F
-B0
-75
-80
-19
-75
-82
-00
-22
-75
-82
-00
-22
-22
-22
-E5
-82
-90
-02
-DD
-F0
-FA
-F5
-82
-C0
-02
-12
-40
-4D
-D0
-02
-90
-02
-DA
-EA
-F0
-75
-82
-00
-02
-40
-48
-22
-90
-00
-00
-22
-22
-22
-75
-82
-00
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-02
-E3
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-03
-11
-E0
-F5
-58
-A3
-E0
-F5
-59
-74
-40
-45
-58
-FC
-74
-70
-45
-59
-FD
-8C
-56
-8D
-57
-90
-02
-E3
-E0
-FE
-A3
-E0
-FF
-A3
-E0
-F8
-8E
-82
-8F
-83
-88
-F0
-12
-44
-80
-F5
-5A
-A3
-12
-44
-80
-F5
-5B
-A3
-12
-44
-80
-F5
-5C
-AA
-5A
-AB
-5B
-AC
-5C
-E4
-C3
-13
-FD
-EC
-13
-FC
-EB
-13
-FB
-EA
-13
-FA
-ED
-C3
-13
-EC
-13
-FC
-EB
-13
-FB
-EA
-13
-FA
-8B
-5F
-EC
-C4
-54
-F0
-C5
-5F
-C4
-C5
-5F
-65
-5F
-C5
-5F
-54
-F0
-C5
-5F
-65
-5F
-F5
-60
-EA
-C4
-54
-F0
-F5
-5E
-EA
-C4
-54
-0F
-45
-5F
-F5
-5F
-75
-5D
-00
-90
-02
-E1
-E0
-F5
-61
-A3
-E0
-F5
-62
-AB
-61
-74
-0F
-55
-62
-FC
-E4
-FD
-FA
-E5
-5D
-42
-03
-E5
-5E
-42
-04
-E5
-5F
-42
-05
-E5
-60
-42
-02
-85
-56
-82
-85
-57
-83
-EB
-F0
-A3
-EC
-F0
-A3
-ED
-F0
-A3
-EA
-F0
-74
-04
-25
-58
-FA
-E4
-35
-59
-90
-03
-11
-74
-3F
-5A
-F0
-A3
-E4
-F0
-90
-03
-0B
-E0
-FA
-A3
-E0
-FB
-90
-03
-0D
-74
-01
-2A
-F0
-E4
-3B
-A3
-F0
-AA
-5A
-AB
-5B
-E5
-61
-2A
-FA
-E5
-62
-3B
-FB
-53
-02
-FC
-53
-03
-3F
-7C
-00
-8E
-82
-8F
-83
-88
-F0
-EA
-12
-43
-BA
-A3
-EB
-12
-43
-BA
-A3
-EC
-02
-43
-BA
-AA
-F0
-AB
-83
-E5
-82
-90
-02
-E8
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-02
-ED
-E4
-F0
-A3
-F0
-90
-A0
-30
-E0
-FA
-A3
-E0
-FB
-EA
-54
-0F
-70
-03
-02
-42
-72
-90
-03
-0F
-E0
-F5
-56
-A3
-E0
-F5
-57
-AC
-56
-74
-70
-45
-57
-FD
-8C
-82
-8D
-83
-E0
-FC
-A3
-E0
-FD
-A3
-E0
-FE
-A3
-E0
-FF
-8C
-00
-74
-0F
-5D
-F9
-90
-02
-ED
-E8
-F0
-A3
-E9
-F0
-90
-02
-E8
-E0
-F5
-58
-A3
-E0
-F5
-59
-A3
-E0
-F5
-5A
-8D
-01
-EE
-C4
-C9
-C4
-54
-0F
-69
-C9
-54
-0F
-C9
-69
-C9
-FA
-EF
-C4
-54
-F0
-4A
-FA
-EF
-C4
-54
-0F
-53
-02
-3F
-E4
-FB
-F8
-E9
-29
-F9
-EA
-33
-FA
-EB
-33
-FB
-E8
-33
-F8
-E9
-29
-F9
-EA
-33
-FA
-EB
-33
-FB
-E8
-33
-F8
-85
-58
-82
-85
-59
-83
-85
-5A
-F0
-E9
-12
-43
-BA
-A3
-EA
-12
-43
-BA
-A3
-EB
-12
-43
-BA
-EF
-03
-03
-54
-3F
-FC
-7D
-00
-7E
-00
-7F
-00
-90
-02
-EB
-EC
-F0
-A3
-ED
-F0
-74
-04
-25
-56
-FA
-E4
-35
-57
-90
-03
-0F
-74
-3F
-5A
-F0
-A3
-E4
-F0
-90
-03
-0B
-E0
-FA
-A3
-E0
-FB
-90
-03
-0B
-74
-01
-2A
-F0
-E4
-3B
-A3
-F0
-90
-02
-ED
-E0
-FA
-A3
-E0
-FB
-90
-02
-E6
-E0
-FC
-A3
-E0
-FD
-EC
-24
-FF
-FE
-ED
-34
-FF
-FF
-C3
-EE
-9A
-EF
-9B
-50
-0D
-1C
-BC
-FF
-01
-1D
-90
-02
-ED
-EC
-F0
-A3
-ED
-F0
-90
-02
-ED
-E0
-FA
-A3
-E0
-8A
-82
-F5
-83
-22
-AA
-83
-E5
-82
-90
-02
-F1
-F0
-A3
-EA
-F0
-90
-02
-F3
-E4
-F0
-A3
-F0
-90
-02
-EF
-E0
-FA
-A3
-E0
-FB
-7C
-10
-90
-02
-F1
-E0
-FD
-A3
-E0
-FE
-33
-92
-00
-EE
-CD
-25
-E0
-CD
-33
-FE
-90
-02
-F1
-ED
-F0
-A3
-EE
-F0
-90
-02
-F3
-E0
-FD
-A3
-E0
-CD
-25
-E0
-CD
-33
-FE
-90
-02
-F3
-ED
-F0
-A3
-EE
-F0
-30
-00
-12
-90
-02
-F3
-E0
-FD
-A3
-E0
-FE
-90
-02
-F3
-74
-01
-4D
-F0
-A3
-EE
-F0
-90
-02
-F3
-E0
-FD
-A3
-E0
-FE
-C3
-ED
-9A
-EE
-9B
-40
-1D
-90
-02
-F3
-ED
-C3
-9A
-F0
-EE
-9B
-A3
-F0
-90
-02
-F1
-E0
-FD
-A3
-E0
-FE
-90
-02
-F1
-74
-01
-4D
-F0
-A3
-EE
-F0
-DC
-8E
-90
-02
-F1
-E0
-FA
-A3
-E0
-8A
-82
-F5
-83
-22
-AA
-F0
-AB
-83
-E5
-82
-90
-02
-FA
-F0
-A3
-EB
-F0
-A3
-EA
-F0
-90
-02
-FA
-E0
-F5
-59
-A3
-E0
-F5
-5A
-A3
-E0
-F5
-5B
-90
-02
-F5
-E0
-FD
-A3
-E0
-FE
-A3
-E0
-FF
-85
-59
-56
-85
-5A
-57
-85
-5B
-58
-90
-02
-F8
-E0
-F8
-A3
-E0
-F9
-88
-02
-89
-03
-18
-B8
-FF
-01
-19
-EA
-4B
-60
-25
-8D
-82
-8E
-83
-8F
-F0
-12
-44
-80
-FA
-A3
-AD
-82
-AE
-83
-85
-56
-82
-85
-57
-83
-85
-58
-F0
-EA
-12
-43
-BA
-A3
-85
-82
-56
-85
-83
-57
-80
-CE
-85
-59
-82
-85
-5A
-83
-85
-5B
-F0
-22
-20
-F7
-10
-30
-F6
-11
-88
-83
-A8
-82
-20
-F5
-07
-F6
-A8
-83
-75
-83
-00
-22
-F2
-80
-F7
-F0
-22
-AA
-83
-E5
-82
-90
-03
-00
-F0
-A3
-EA
-F0
-90
-03
-02
-E4
-F0
-90
-03
-00
-E0
-FA
-A3
-E0
-FB
-7C
-00
-90
-02
-FE
-E0
-FD
-A3
-E0
-FE
-23
-54
-01
-FF
-70
-37
-EE
-CD
-25
-E0
-CD
-33
-FE
-90
-02
-FE
-ED
-F0
-A3
-EE
-F0
-90
-02
-FE
-E0
-FD
-A3
-E0
-FE
-C3
-EA
-9D
-EB
-9E
-50
-11
-EE
-C3
-13
-CD
-13
-CD
-FE
-90
-02
-FE
-ED
-F0
-A3
-EE
-F0
-80
-08
-0C
-90
-03
-02
-EC
-F0
-80
-BB
-90
-03
-02
-E0
-FA
-90
-03
-00
-E0
-FB
-A3
-E0
-FC
-90
-02
-FE
-E0
-FD
-A3
-E0
-FE
-C3
-EB
-9D
-EC
-9E
-40
-0B
-90
-03
-00
-EB
-C3
-9D
-F0
-EC
-9E
-A3
-F0
-90
-02
-FE
-E0
-FB
-A3
-E0
-C3
-13
-CB
-13
-CB
-FC
-90
-02
-FE
-EB
-F0
-A3
-EC
-F0
-8A
-03
-1A
-EB
-70
-C3
-90
-03
-00
-E0
-FA
-A3
-E0
-8A
-82
-F5
-83
-22
-20
-F7
-14
-30
-F6
-14
-88
-83
-A8
-82
-20
-F5
-07
-E6
-A8
-83
-75
-83
-00
-22
-E2
-80
-F7
-E4
-93
-22
-E0
-22
-75
-82
-00
-22
-45
-6E
-61
-62
-6C
-65
-00
-44
-69
-73
-61
-62
-6C
-65
-00
-4D
-61
-69
-6E
-20
-6D
-65
-6E
-75
-00
-41
-56
-52
-20
-49
-50
-20
-63
-6F
-6E
-66
-69
-67
-00
-53
-65
-72
-76
-65
-72
-20
-49
-50
-20
-63
-6F
-6E
-66
-69
-67
-00
-53
-65
-6E
-64
-20
-74
-65
-6D
-70
-20
-63
-6F
-6E
-66
-69
-67
-00
-50
-69
-6E
-67
-20
-73
-65
-72
-76
-65
-72
-00
-41
-56
-52
-20
-49
-50
-00
-53
-65
-72
-76
-65
-72
-20
-49
-50
-00
-53
-65
-6E
-64
-20
-74
-65
-6D
-70
-20
-69
-6E
-00
-41
-44
-43
-30
-20
-26
-20
-54
-65
-6D
-70
-00
-53
-54
-4F
-4B
-0D
-0A
-00
-45
-52
-52
-4F
-52
-0D
-0A
-00
-57
-4C
-4F
-4B
-0D
-0A
-00
-53
-4C
-4F
-4B
-0D
-0A
-00
-74
-63
-00
-65
-6E
-00
-68
-00
-6D
-00
-47
-45
-54
-20
-2F
-61
-76
-72
-6E
-65
-74
-2F
-73
-61
-76
-65
-2E
-70
-68
-70
-3F
-70
-77
-64
-3D
-73
-65
-63
-72
-65
-74
-26
-74
-65
-6D
-70
-3D
-00
-20
-48
-54
-54
-50
-2F
-31
-2E
-30
-0D
-0A
-00
-48
-6F
-73
-74
-3A
-20
-31
-30
-2E
-31
-2E
-31
-2E
-37
-36
-0D
-0A
-00
-55
-73
-65
-72
-2D
-41
-67
-65
-6E
-74
-3A
-20
-41
-56
-52
-20
-65
-74
-68
-65
-72
-6E
-65
-74
-0D
-0A
-00
-41
-63
-63
-65
-70
-74
-3A
-20
-74
-65
-78
-74
-2F
-68
-74
-6D
-6C
-0D
-0A
-00
-4B
-65
-65
-70
-2D
-41
-6C
-69
-76
-65
-3A
-20
-33
-30
-30
-0D
-0A
-00
-43
-6F
-6E
-6E
-65
-63
-74
-69
-6F
-6E
-3A
-20
-6B
-65
-65
-70
-2D
-61
-6C
-69
-76
-65
-0D
-0A
-0D
-0A
-00
-48
-54
-54
-50
-2F
-31
-2E
-30
-20
-32
-30
-30
-20
-4F
-4B
-0D
-0A
-43
-6F
-6E
-74
-65
-6E
-74
-2D
-54
-79
-70
-65
-3A
-20
-74
-65
-78
-74
-2F
-68
-74
-6D
-6C
-0D
-0A
-0D
-0A
-00
-3C
-74
-69
-74
-6C
-65
-3E
-00
-3C
-2F
-74
-69
-74
-6C
-65
-3E
-00
-3C
-61
-20
-68
-72
-65
-66
-3D
-22
-68
-74
-74
-70
-3A
-2F
-2F
-77
-77
-77
-2E
-61
-76
-72
-70
-6F
-72
-74
-61
-6C
-2E
-63
-6F
-6D
-2F
-22
-20
-74
-61
-72
-67
-65
-74
-3D
-22
-5F
-62
-6C
-61
-6E
-6B
-22
-3E
-3C
-62
-3E
-3C
-66
-6F
-6E
-74
-20
-63
-6F
-6C
-6F
-72
-3D
-22
-23
-30
-30
-30
-30
-39
-39
-22
-20
-73
-69
-7A
-65
-3D
-22
-2B
-31
-22
-3E
-00
-3C
-2F
-66
-6F
-6E
-74
-3E
-3C
-2F
-62
-3E
-3C
-2F
-61
-3E
-3C
-62
-72
-3E
-00
-4C
-45
-44
-20
-31
-20
-3A
-20
-00
-3C
-66
-6F
-6E
-74
-20
-63
-6F
-6C
-6F
-72
-3D
-72
-65
-64
-3E
-4F
-46
-46
-00
-3C
-2F
-66
-6F
-6E
-74
-3E
-20
-5B
-20
-3C
-61
-20
-68
-72
-65
-66
-3D
-22
-2E
-2F
-3F
-6C
-31
-3D
-00
-31
-22
-3E
-4F
-4E
-00
-3C
-2F
-61
-3E
-20
-5D
-2C
-20
-4C
-45
-44
-20
-32
-20
-3A
-20
-00
-3C
-2F
-66
-6F
-6E
-74
-3E
-20
-5B
-20
-3C
-61
-20
-68
-72
-65
-66
-3D
-22
-2E
-2F
-3F
-6C
-32
-3D
-00
-3C
-2F
-61
-3E
-20
-5D
-3C
-62
-72
-3E
-3C
-62
-72
-3E
-00
-41
-43
-44
-30
-20
-3D
-20
-00
-3C
-62
-72
-3E
-3C
-62
-72
-3E
-54
-65
-6D
-70
-61
-72
-61
-74
-75
-72
-65
-20
-3D
-20
-00
-26
-64
-65
-67
-3B
-43
-3C
-62
-72
-3E
-00
-3C
-49
-4E
-50
-55
-54
-20
-54
-59
-50
-45
-3D
-22
-68
-69
-64
-64
-65
-6E
-22
-20
-4E
-41
-4D
-45
-3D
-22
-74
-63
-22
-20
-56
-41
-4C
-55
-45
-3D
-22
-31
-22
-3E
-53
-65
-6E
-64
-20
-54
-65
-6D
-70
-61
-72
-61
-74
-75
-72
-65
-20
-69
-6E
-20
-3C
-49
-4E
-50
-55
-54
-20
-54
-59
-50
-45
-3D
-22
-63
-68
-65
-63
-6B
-62
-6F
-78
-22
-20
-4E
-41
-4D
-45
-3D
-22
-65
-6E
-22
-00
-43
-48
-45
-43
-4B
-45
-44
-00
-3E
-20
-45
-6E
-61
-62
-6C
-65
-20
-00
-3C
-49
-4E
-50
-55
-54
-20
-54
-59
-50
-45
-3D
-22
-74
-65
-78
-74
-22
-20
-4E
-41
-4D
-45
-3D
-22
-68
-22
-20
-73
-69
-7A
-65
-3D
-22
-32
-22
-20
-6D
-61
-78
-6C
-65
-6E
-67
-74
-68
-3D
-22
-32
-22
-20
-56
-41
-4C
-55
-45
-3D
-22
-00
-22
-3E
-20
-48
-6F
-75
-72
-73
-20
-3C
-49
-4E
-50
-55
-54
-20
-54
-59
-50
-45
-3D
-22
-74
-65
-78
-74
-22
-20
-4E
-41
-4D
-45
-3D
-22
-6D
-22
-20
-73
-69
-7A
-65
-3D
-22
-32
-22
-20
-6D
-61
-78
-6C
-65
-6E
-67
-74
-68
-3D
-22
-32
-22
-20
-56
-41
-4C
-55
-45
-3D
-22
-00
-22
-3E
-20
-4D
-69
-6E
-75
-74
-65
-73
-3C
-69
-6E
-70
-75
-74
-20
-74
-79
-70
-65
-3D
-22
-73
-75
-62
-6D
-69
-74
-22
-20
-76
-61
-6C
-75
-65
-3D
-22
-4F
-4B
-22
-3E
-3C
-2F
-66
-6F
-72
-6D
-3E
-00
-3C
-69
-6E
-70
-75
-74
-20
-6E
-61
-6D
-65
-3D
-22
-61
-69
-70
-22
-20
-74
-79
-70
-65
-3D
-22
-74
-65
-78
-74
-22
-20
-73
-69
-7A
-65
-3D
-22
-31
-35
-22
-20
-6D
-61
-78
-6C
-65
-6E
-67
-74
-68
-3D
-22
-31
-35
-22
-20
-76
-61
-6C
-75
-65
-3D
-22
-00
-22
-3E
-20
-3C
-69
-6E
-70
-75
-74
-20
-74
-79
-70
-65
-3D
-22
-73
-75
-62
-6D
-69
-74
-22
-20
-76
-61
-6C
-75
-65
-3D
-22
-41
-56
-52
-20
-49
-50
-22
-3E
-3C
-2F
-66
-6F
-72
-6D
-3E
-00
-3C
-69
-6E
-70
-75
-74
-20
-6E
-61
-6D
-65
-3D
-22
-73
-69
-70
-22
-20
-74
-79
-70
-65
-3D
-22
-74
-65
-78
-74
-22
-20
-73
-69
-7A
-65
-3D
-22
-31
-35
-22
-20
-6D
-61
-78
-6C
-65
-6E
-67
-74
-68
-3D
-22
-31
-35
-22
-20
-76
-61
-6C
-75
-65
-3D
-22
-00
-22
-3E
-20
-3C
-69
-6E
-70
-75
-74
-20
-74
-79
-70
-65
-3D
-22
-73
-75
-62
-6D
-69
-74
-22
-20
-76
-61
-6C
-75
-65
-3D
-22
-53
-65
-72
-76
-65
-72
-20
-49
-50
-22
-3E
-3C
-2F
-66
-6F
-72
-6D
-3E
-00
-3C
-69
-6E
-70
-75
-74
-20
-6E
-61
-6D
-65
-3D
-22
-6C
-63
-64
-31
-22
-20
-74
-79
-70
-65
-3D
-22
-74
-65
-78
-74
-22
-20
-73
-69
-7A
-65
-3D
-22
-31
-36
-22
-20
-6D
-61
-78
-6C
-65
-6E
-67
-74
-68
-3D
-22
-31
-36
-22
-3E
-20
-4C
-43
-44
-20
-4C
-69
-6E
-65
-20
-31
-3C
-62
-72
-3E
-00
-3C
-69
-6E
-70
-75
-74
-20
-6E
-61
-6D
-65
-3D
-22
-6C
-63
-64
-32
-22
-20
-74
-79
-70
-65
-3D
-22
-74
-65
-78
-74
-22
-20
-73
-69
-7A
-65
-3D
-22
-31
-36
-22
-20
-6D
-61
-78
-6C
-65
-6E
-67
-74
-68
-3D
-22
-31
-36
-22
-3E
-20
-4C
-43
-44
-20
-4C
-69
-6E
-65
-20
-32
-3C
-62
-72
-3E
-00
-3C
-69
-6E
-70
-75
-74
-20
-74
-79
-70
-65
-3D
-22
-73
-75
-62
-6D
-69
-74
-22
-20
-76
-61
-6C
-75
-65
-3D
-22
-57
-72
-69
-74
-65
-20
-4C
-43
-44
-22
-3E
-3C
-2F
-66
-6F
-72
-6D
-3E
-00
-3C
-61
-20
-68
-72
-65
-66
-3D
-22
-2E
-2F
-22
-3E
-3C
-62
-3E
-3C
-66
-6F
-6E
-74
-20
-63
-6F
-6C
-6F
-72
-3D
-22
-23
-30
-30
-30
-30
-39
-39
-22
-20
-73
-69
-7A
-65
-3D
-22
-2B
-31
-22
-3E
-52
-65
-66
-72
-65
-73
-68
-3C
-2F
-66
-6F
-6E
-74
-3E
-3C
-2F
-62
-3E
-3C
-2F
-61
-3E
-00
-41
-56
-52
-6E
-65
-74
-20
-56
-30
-2E
-39
-20
-62
-79
-20
-41
-56
-52
-70
-6F
-72
-74
-61
-6C
-2E
-63
-6F
-6D
-00
-3C
-62
-72
-3E
-00
-3C
-68
-72
-20
-77
-69
-64
-74
-68
-3D
-22
-31
-30
-30
-25
-22
-20
-73
-69
-7A
-65
-3D
-22
-31
-22
-3E
-3C
-62
-72
-3E
-00
-3C
-66
-6F
-72
-6D
-20
-61
-63
-74
-69
-6F
-6E
-3D
-22
-2E
-2F
-3F
-22
-20
-6D
-65
-74
-68
-6F
-64
-3D
-22
-67
-65
-74
-22
-3E
-00
-0A
-01
-01
-01
-0A
-01
-01
-4C
-00
-00
-00
-00
-00
-00
-00
-00
-04
-04
-04
-02
-3C
-3C
-18
-00
-00
-01
-45
-6E
-61
-62
-6C
-65
-00
-44
-69
-73
-61
-62
-6C
-65
-00
-AF
-44
-80
-B9
-44
-80
-C7
-44
-80
-D8
-44
-80
-E9
-44
-80
-F5
-44
-80
-FC
-44
-80
-06
-45
-80
-13
-45
-80
-0A
-01
-01
-41
-56
-52
-6E
-65
-74
-20
-56
-30
-2E
-39
-20
-62
-79
-20
-41
-56
-52
-70
-6F
-72
-74
-61
-6C
-2E
-63
-6F
-6D
-00
-3C
-62
-72
-3E
-00
-3C
-68
-72
-20
-77
-69
-64
-74
-68
-3D
-22
-31
-30
-30
-25
-22
-20
-73
-69
-7A
-65
-3D
-22
-31
-22
-3E
-3C
-62
-72
-3E
-00
-3C
-66
-6F
-72
-6D
-20
-61
-63
-74
-69
-6F
-6E
-3D
-22
-2E
-2F
-3F
-22
-20
-6D
-65
-74
-68
-6F
-64
-3D
-22
-67
-65
-74
-22
-3E
-00
trunk/verif/testcase/dat/webserver.dat
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property