OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /open8_urisc/trunk/VHDL
    from Rev 260 to Rev 261
    Reverse comparison

Rev 260 → Rev 261

/button_db.vhd
57,10 → 57,10
signal Button_SR : std_logic_vector(2 downto 0);
alias Button_In_q is Button_SR(2);
 
signal Button_Dn_Tmr : std_logic_vector(5 downto 0);
signal Button_Dn_Tmr : std_logic_vector(6 downto 0);
signal Button_Dn : std_logic;
 
signal Button_Up_Tmr : std_logic_vector(5 downto 0);
signal Button_Up_Tmr : std_logic_vector(6 downto 0);
signal Button_Up : std_logic;
 
signal Button_State : std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.