OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /open8_urisc/trunk/VHDL
    from Rev 263 to Rev 264
    Reverse comparison

Rev 263 → Rev 264

/o8_cpu.vhd
255,6 → 255,9
-- for indexed instructions, reducing the final
-- address generator to a multiplexor fed only by
-- registers.
-- Seth Henry 07/10/20 Fixed a bug in the LDO/LDX logic where the register
-- pair wasn't being incremented properly due to a
-- missing UPP2 signal to the ALU.
 
library ieee;
use ieee.std_logic_1164.all;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.