OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /open8_urisc/trunk/VHDL
    from Rev 264 to Rev 265
    Reverse comparison

Rev 264 → Rev 265

/sdlc_crc16_ccitt.vhd
79,7 → 79,7
Calc_En <= '0';
Buffer_En <= '0';
Data <= x"00";
Reg <= x"0000";
Reg <= Poly_Init;
CRC16_Out <= x"0000";
CRC16_Valid <= '0';
elsif( rising_edge(Clock) )then

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.