OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /open8_urisc/trunk/VHDL
    from Rev 296 to Rev 297
    Reverse comparison

Rev 296 → Rev 297

/o8_register_wide.vhd
26,7 → 26,10
--
-- Register Map:
-- Offset Bitfield Description Read/Write
-- 0x00 AAAAAAAA Registered Outputs (RW)
-- 0x00 AAAAAAAA Registered Output 0 (RW)
-- 0x01 AAAAAAAA Registered Output 1 (RW)
-- 0x02 AAAAAAAA Registered Output 2 (RW)
-- 0x03 AAAAAAAA Registered Output 3 (RW)
--
-- Revision History
-- Author Date Change

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.