OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /open8_urisc/trunk
    from Rev 196 to Rev 197
    Reverse comparison

Rev 196 → Rev 197

/VHDL/o8_clk_detect.vhd
26,9 → 26,9
--
-- Register Map:
-- Offset Bitfield Description Read/Write
-- 0x00 BA------ VSD Engine PLL Reset (RO/RW)
-- A = Clock Line State (follows input) (RO)
-- B = Clock Detect (1 = transition detected) (RO)
-- 0x00 BA------ Recieve Clock Status (RO)
-- A = Clock Line State (follows input)
-- B = Clock Detect (1 = transition detected)
 
library ieee;
use ieee.std_logic_1164.all;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.