OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /open8_urisc/trunk
    from Rev 225 to Rev 226
    Reverse comparison

Rev 225 → Rev 226

/VHDL/Open8_pkg.vhd
40,6 → 40,8
-- memory sizing calculations.
-- Seth Henry 04/09/20 Added the I bit to the exported flags for use in
-- memory protection schemes.
-- Seth Henry 04/16/20 Added the OPEN8_BUS_TYPE record to simplify
-- peripheral connections.
 
library ieee;
use ieee.std_logic_1164.all;
108,7 → 110,8
port(
Clock : in std_logic;
PLL_Locked : in std_logic;
CPU_Halt : in std_logic := '0';
Halt_Req : in std_logic := '0';
Halt_Ack : out std_logic;
Open8_Bus : out OPEN8_BUS_TYPE;
Rd_Data : in DATA_TYPE;
Interrupts : in INTERRUPT_BUNDLE := x"00"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.