OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /open8_urisc
    from Rev 246 to Rev 247
    Reverse comparison

Rev 246 → Rev 247

/trunk/VHDL/o8_vector_rx.vhd
112,7 → 112,7
signal Vector_State : VECTOR_RX_STATES := GET_VECTOR_CMD;
 
signal Vec_Req_SR : std_logic_vector(2 downto 0);
alias Vec_Req_MS is Vec_Rx_SR(2);
alias Vec_Req_MS is Vec_Req_SR(2);
 
signal Vector_Index : DATA_TYPE := x"00";
signal Vector_Data : ADDRESS_TYPE := x"0000";

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.