OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /open_hitter/trunk/bench/vhdl
    from Rev 8 to Rev 10
    Reverse comparison

Rev 8 → Rev 10

/hitter_sim.vhd File deleted
/parse_price.vhd File deleted
/parse_price_sim.vhd File deleted
/build.sh
1,10 → 1,10
#!/bin/bash
 
# analysis
ghdl -a parse_price.vhd
ghdl -a parse_price_sim.vhd
ghdl -a ../../rtl/vhdl/parse_price.vhd
ghdl -a ../../sim/rtl_sim/src/parse_price_sim.vhd
ghdl -a parse_price_wrapper.vhd
ghdl -a hitter_sim.vhd
ghdl -a ../../sim/rtl_sim/src/hitter_sim.vhd
ghdl -a hitter_wrapper.vhd
 
# elaboration & run

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.