URL
https://opencores.org/ocsvn/open_hitter/open_hitter/trunk
Subversion Repositories open_hitter
Compare Revisions
- This comparison shows the changes necessary to convert path
/open_hitter/trunk/bench
- from Rev 3 to Rev 5
- ↔ Reverse comparison
Rev 3 → Rev 5
/vhdl/parse_price_sim.vhd
108,26 → 108,21
process (RX_CLK) is |
constant pkt : std_logic_vector(103 downto 0) := X"081234567857484154534543C0"; |
begin |
if (px_type = B"01000") and (buy_sell = B"000") and (px = B"00000000_00001000") |
if rising_edge(RX_CLK) then |
if (px_type = B"01000") and (buy_sell = B"000") and (px = B"00000000_00001000") |
and (qty = B"00110100_00110100") |
and (sec = B"01001000_01000001_01000001_01010100_01010100_01010011_01010011") |
and (id = B"01000011_01000011") |
then |
then |
result_is_ok <= '1'; |
processing <= '0'; |
else |
else |
result_is_ok <= '0'; |
end if; |
end if; |
|
if rising_edge(RX_CLK) then |
if (pos < 0) then |
byte_ready <= '0'; |
elsif (pos < 13) then |
if ((pos > -1) and (pos < 13)) then |
in_byte <= pkt(8*pos+7 downto 8*pos); |
byte_ready <= '1'; |
byte_reset <= '0'; |
else |
byte_ready <= '0'; |
end if; |
|
if (restart = '1') then |
140,9 → 135,9
pos <= pos -1; |
end if; |
|
else -- falling edge RX_CLK |
byte_ready <= '0'; |
end if; |
end process; |
|
end process; |
byte_ready <= RX_CLK when ((pos > -1) and (pos < 13)) else '0'; |
|
end behav; |