OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /open_hitter/trunk/rtl
    from Rev 18 to Rev 21
    Reverse comparison

Rev 18 → Rev 21

/vhdl/search_item.vhd
80,7 → 80,6
end search_item;
 
architecture search_item_implementation of search_item is
-- signal state : integer range 0 to 16 := 16;
signal requires_reset: std_logic := '0';
-- pxdata: out price_packet
signal store_px_type: std_logic_vector(4 downto 0) := (others => 'Z');
/vhdl/search_control.vhd
108,8 → 108,8
b2_id: out std_logic_vector(15 downto 0) -- unique/identifier/counter
);
end component;
signal searchitems_count : integer := 0;
signal state : integer range 0 to 16 := 16;
signal searchitems_count : integer;
signal state : integer range 0 to 16;
-- pxdata: store price_packet
signal store_px_type: std_logic_vector(4 downto 0) := (others => 'Z');
signal store_buy_sell: std_logic_vector(2 downto 0) := (others => 'Z'); -- 111 buy, 000 sell
238,9 → 238,9
store_id <= search_id_i;
--b2_px_type <= std_logic_vector'(std_logic_vector'("00000"));
state <= 10;
else
else -- nothing 10000 (avoid ZZZZZ)
-- no action - flash up Z's
order_px_type_o <= (others => 'Z');
order_px_type_o <= std_logic_vector'("10000"); -- avoiding ZZZZZ
order_buy_sell_o <= (others => 'Z'); -- 111 buy, 000 sell
order_px_o <= (others => 'Z'); -- price
order_qty_o <= (others => 'Z'); -- quantity

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.