OpenCores
URL https://opencores.org/ocsvn/openhmc/openhmc/trunk

Subversion Repositories openhmc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /openhmc/trunk/openHMC/rtl/building_blocks/rams
    from Rev 11 to Rev 15
    Reverse comparison

Rev 11 → Rev 15

/openhmc_ram.v
44,7 → 44,7
module openhmc_ram #(
parameter DATASIZE = 78, // Memory data word width
parameter ADDRSIZE = 9, // Number of memory address bits
parameter PIPELINED = 0
parameter PIPELINED = 0
) (
//----------------------------------
//----SYSTEM INTERFACE
93,12 → 93,11
endgenerate
 
reg [DATASIZE-1:0] MEM [0:(2**ADDRSIZE)-1];
 
reg [DATASIZE-1:0] data_out;
 
assign rdata_ram = data_out;
 
 
 
always @(posedge clk)
begin
if (wen)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.