OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /openmsp430/trunk/core/synthesis
    from Rev 64 to Rev 68
    Reverse comparison

Rev 64 → Rev 68

/actel/run_analysis.tcl
52,13 → 52,14
 
 
# Set the different RTL configurations to be analysed
set rtlDefines {PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3}
set rtlConfigs {{ 12 10 0 0 0 0 0 }
{ 12 10 1 0 0 0 0 }
{ 12 10 1 1 0 0 0 }
{ 12 10 1 1 1 0 0 }
{ 12 10 1 1 1 1 0 }
{ 12 10 1 1 1 1 1 }}
set rtlDefines {PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER}
set rtlConfigs {{ 12 10 0 0 0 0 0 0}
{ 12 10 1 0 0 0 0 0}
{ 12 10 1 1 0 0 0 0}
{ 12 10 1 1 1 0 0 0}
{ 12 10 1 1 1 1 0 0}
{ 12 10 1 1 1 1 1 0}}
set rtlConfigs {{ 12 10 0 0 0 0 0 1}}
 
# RTL configuration files
75,8 → 76,9
../../rtl/verilog/omsp_dbg.v
../../rtl/verilog/omsp_dbg_uart.v
../../rtl/verilog/omsp_dbg_hwbrk.v
../../rtl/verilog/openMSP430_undefines.v
../../rtl/verilog/timescale.v
../../rtl/verilog/omsp_multiplier.v
../../rtl/verilog/openMSP430_undefines.v
../../rtl/verilog/timescale.v
}
 
###############################################################################
/actel/run_analysis.mpy.log
0,0 → 1,509
#####################################################################################
# START SYNTHESIS
#====================================================================================
# ProASIC3E (A3PE1500), speedgrade: Std
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
 
 
Clock Domain: dco_clk
Period (ns): 61.969
Frequency (MHz): 16.137
Required Period (ns): 40.000
Required Frequency (MHz): 25.000
External Setup (ns): 60.413
External Hold (ns): 0.000
Min Clock-To-Out (ns): 0.000
Max Clock-To-Out (ns): 72.849
 
Input to Output
Min Delay (ns): 0.000
Max Delay (ns): 71.293
 
 
====================================================================================
Compile report:
===============
 
CORE Used: 4734
Core Information:
 
Type | Instances | Core tiles
--------|--------------|-----------
COMB | 4184 | 4184
SEQ | 550 | 550
 
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS
#====================================================================================
# ProASIC3E (A3PE1500), speedgrade: -1
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
 
 
Clock Domain: dco_clk
Period (ns): 52.723
Frequency (MHz): 18.967
Required Period (ns): 40.000
Required Frequency (MHz): 25.000
External Setup (ns): 49.425
External Hold (ns): 0.276
Min Clock-To-Out (ns): 3.206
Max Clock-To-Out (ns): 58.337
 
Input to Output
Min Delay (ns): 2.045
Max Delay (ns): 55.039
 
 
====================================================================================
Compile report:
===============
 
CORE Used: 4585
Core Information:
 
Type | Instances | Core tiles
--------|--------------|-----------
COMB | 4033 | 4033
SEQ | 552 | 552
 
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS
#====================================================================================
# ProASIC3E (A3PE1500), speedgrade: -2
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
 
 
Clock Domain: dco_clk
Period (ns): 47.977
Frequency (MHz): 20.843
Required Period (ns): 40.000
Required Frequency (MHz): 25.000
External Setup (ns): 44.738
External Hold (ns): 0.216
Min Clock-To-Out (ns): 3.281
Max Clock-To-Out (ns): 52.477
 
Input to Output
Min Delay (ns): 2.088
Max Delay (ns): 49.238
 
 
====================================================================================
Compile report:
===============
 
CORE Used: 4573
Core Information:
 
Type | Instances | Core tiles
--------|--------------|-----------
COMB | 4020 | 4020
SEQ | 553 | 553
 
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS
#====================================================================================
# ProASIC3L (A3P1000L), speedgrade: Std
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
 
 
Clock Domain: dco_clk
Period (ns): 70.092
Frequency (MHz): 14.267
Required Period (ns): 40.000
Required Frequency (MHz): 25.000
External Setup (ns): 67.167
External Hold (ns): 0.206
Min Clock-To-Out (ns): 7.443
Max Clock-To-Out (ns): 78.104
 
Input to Output
Min Delay (ns): 4.745
Max Delay (ns): 75.179
 
 
====================================================================================
Compile report:
===============
 
CORE Used: 4665
Core Information:
 
Type | Instances | Core tiles
--------|--------------|-----------
COMB | 4113 | 4113
SEQ | 552 | 552
 
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS
#====================================================================================
# ProASIC3L (A3P1000L), speedgrade: -1
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
 
 
Clock Domain: dco_clk
Period (ns): 57.781
Frequency (MHz): 17.307
Required Period (ns): 40.000
Required Frequency (MHz): 25.000
External Setup (ns): 56.549
External Hold (ns): 0.295
Min Clock-To-Out (ns): 6.027
Max Clock-To-Out (ns): 65.937
 
Input to Output
Min Delay (ns): 4.220
Max Delay (ns): 64.705
 
 
====================================================================================
Compile report:
===============
 
CORE Used: 4595
Core Information:
 
Type | Instances | Core tiles
--------|--------------|-----------
COMB | 4044 | 4044
SEQ | 551 | 551
 
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS
#====================================================================================
# ProASIC3 (A3P1000), speedgrade: Std
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
 
 
Clock Domain: dco_clk
Period (ns): 64.007
Frequency (MHz): 15.623
Required Period (ns): 40.000
Required Frequency (MHz): 25.000
External Setup (ns): 62.387
External Hold (ns): 0.000
Min Clock-To-Out (ns): 0.000
Max Clock-To-Out (ns): 71.427
 
Input to Output
Min Delay (ns): 0.000
Max Delay (ns): 69.807
 
 
====================================================================================
Compile report:
===============
 
CORE Used: 4734
Core Information:
 
Type | Instances | Core tiles
--------|--------------|-----------
COMB | 4184 | 4184
SEQ | 550 | 550
 
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS
#====================================================================================
# ProASIC3 (A3P1000), speedgrade: -1
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
 
 
Clock Domain: dco_clk
Period (ns): 52.047
Frequency (MHz): 19.213
Required Period (ns): 40.000
Required Frequency (MHz): 25.000
External Setup (ns): 49.153
External Hold (ns): 0.379
Min Clock-To-Out (ns): 3.098
Max Clock-To-Out (ns): 59.549
 
Input to Output
Min Delay (ns): 1.854
Max Delay (ns): 56.655
 
 
====================================================================================
Compile report:
===============
 
CORE Used: 4585
Core Information:
 
Type | Instances | Core tiles
--------|--------------|-----------
COMB | 4033 | 4033
SEQ | 552 | 552
 
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS
#====================================================================================
# ProASIC3 (A3P1000), speedgrade: -2
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
 
 
Clock Domain: dco_clk
Period (ns): 45.521
Frequency (MHz): 21.968
Required Period (ns): 40.000
Required Frequency (MHz): 25.000
External Setup (ns): 42.871
External Hold (ns): 0.659
Min Clock-To-Out (ns): 3.201
Max Clock-To-Out (ns): 50.665
 
Input to Output
Min Delay (ns): 1.940
Max Delay (ns): 48.015
 
 
====================================================================================
Compile report:
===============
 
CORE Used: 4573
Core Information:
 
Type | Instances | Core tiles
--------|--------------|-----------
COMB | 4020 | 4020
SEQ | 553 | 553
 
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS
#====================================================================================
# Fusion (AFS1500), speedgrade: Std
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
 
 
Clock Domain: dco_clk
Period (ns): 63.147
Frequency (MHz): 15.836
Required Period (ns): 40.000
Required Frequency (MHz): 25.000
External Setup (ns): 59.732
External Hold (ns): 0.000
Min Clock-To-Out (ns): 0.000
Max Clock-To-Out (ns): 73.607
 
Input to Output
Min Delay (ns): 0.000
Max Delay (ns): 70.192
 
 
====================================================================================
Compile report:
===============
 
CORE Used: 4734
Core Information:
 
Type | Instances | Core tiles
--------|--------------|-----------
COMB | 4184 | 4184
SEQ | 550 | 550
 
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS
#====================================================================================
# Fusion (AFS1500), speedgrade: -1
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
 
 
Clock Domain: dco_clk
Period (ns): 54.158
Frequency (MHz): 18.464
Required Period (ns): 40.000
Required Frequency (MHz): 25.000
External Setup (ns): 46.454
External Hold (ns): 0.600
Min Clock-To-Out (ns): 3.076
Max Clock-To-Out (ns): 62.871
 
Input to Output
Min Delay (ns): 2.213
Max Delay (ns): 55.167
 
 
====================================================================================
Compile report:
===============
 
CORE Used: 4585
Core Information:
 
Type | Instances | Core tiles
--------|--------------|-----------
COMB | 4033 | 4033
SEQ | 552 | 552
 
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS
#====================================================================================
# Fusion (AFS1500), speedgrade: -2
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
 
 
Clock Domain: dco_clk
Period (ns): 46.868
Frequency (MHz): 21.337
Required Period (ns): 40.000
Required Frequency (MHz): 25.000
External Setup (ns): 41.526
External Hold (ns): 0.613
Min Clock-To-Out (ns): 3.009
Max Clock-To-Out (ns): 52.492
 
Input to Output
Min Delay (ns): 2.258
Max Delay (ns): 47.150
 
 
====================================================================================
Compile report:
===============
 
CORE Used: 4573
Core Information:
 
Type | Instances | Core tiles
--------|--------------|-----------
COMB | 4020 | 4020
SEQ | 553 | 553
 
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS
#====================================================================================
# IGLOOE (AGLE600V5), speedgrade: Std
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
 
 
Clock Domain: dco_clk
Period (ns): 68.930
Frequency (MHz): 14.507
Required Period (ns): 40.000
Required Frequency (MHz): 25.000
External Setup (ns): 66.686
External Hold (ns): 0.000
Min Clock-To-Out (ns): 0.000
Max Clock-To-Out (ns): 76.255
 
Input to Output
Min Delay (ns): 0.000
Max Delay (ns): 74.011
 
 
====================================================================================
Compile report:
===============
 
CORE Used: 4844
Core Information:
 
Type | Instances | Core tiles
--------|--------------|-----------
COMB | 4292 | 4292
SEQ | 552 | 552
 
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
 
#####################################################################################
# ANALYSIS DONE
#####################################################################################
 
actel/run_analysis.mpy.log Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: altera/design_files.v =================================================================== --- altera/design_files.v (revision 64) +++ altera/design_files.v (revision 68) @@ -96,3 +96,7 @@ `include "../../../rtl/verilog/omsp_dbg_hwbrk.v" `endif `endif +`include "../src/openMSP430_defines.v" +`ifdef MULTIPLIER + `include "../../../rtl/verilog/omsp_multiplier.v" +`endif Index: altera/run_analysis.area.mpy.log =================================================================== --- altera/run_analysis.area.mpy.log (nonexistent) +++ altera/run_analysis.area.mpy.log (revision 68) @@ -0,0 +1,691 @@ +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Cyclone II (EP2C20F484C), speedgrade: -6 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Type : Clock Setup: 'dco_clk' +Slack : -17.586 ns +Required Time : 240.04 MHz ( period = 4.166 ns ) +Actual Time : 45.97 MHz ( period = 21.752 ns ) + +==================================================================================== +Total logic elements : 1,785 / 18,752 ( 10 % ) + Total combinational functions : 1,732 / 18,752 ( 9 % ) + Dedicated logic registers : 537 / 18,752 ( 3 % ) +Total registers : 537 +Total pins : 80 / 315 ( 25 % ) +Total virtual pins : 0 +Total memory bits : 81,920 / 239,616 ( 34 % ) +Embedded Multiplier 9-bit elements : 2 / 52 ( 4 % ) +Total PLLs : 0 / 4 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Cyclone II (EP2C20F484C), speedgrade: -7 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Type : Clock Setup: 'dco_clk' +Slack : -22.153 ns +Required Time : 240.04 MHz ( period = 4.166 ns ) +Actual Time : 38.00 MHz ( period = 26.319 ns ) + +==================================================================================== +Total logic elements : 1,781 / 18,752 ( 9 % ) + Total combinational functions : 1,732 / 18,752 ( 9 % ) + Dedicated logic registers : 537 / 18,752 ( 3 % ) +Total registers : 537 +Total pins : 80 / 315 ( 25 % ) +Total virtual pins : 0 +Total memory bits : 81,920 / 239,616 ( 34 % ) +Embedded Multiplier 9-bit elements : 2 / 52 ( 4 % ) +Total PLLs : 0 / 4 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Cyclone II (EP2C20F484C), speedgrade: -8 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Type : Clock Setup: 'dco_clk' +Slack : -26.587 ns +Required Time : 240.04 MHz ( period = 4.166 ns ) +Actual Time : 32.52 MHz ( period = 30.753 ns ) + +==================================================================================== +Total logic elements : 1,779 / 18,752 ( 9 % ) + Total combinational functions : 1,732 / 18,752 ( 9 % ) + Dedicated logic registers : 537 / 18,752 ( 3 % ) +Total registers : 537 +Total pins : 80 / 315 ( 25 % ) +Total virtual pins : 0 +Total memory bits : 81,920 / 239,616 ( 34 % ) +Embedded Multiplier 9-bit elements : 2 / 52 ( 4 % ) +Total PLLs : 0 / 4 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Cyclone III (EP3C55F484C), speedgrade: -6 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== ++-------------------------------------------------+ +; Slow 1200mV 85C Model Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 49.06 MHz ; 49.06 MHz ; dco_clk ; ; ++-----------+-----------------+------------+------+ + +==================================================================================== +Total logic elements : 1,752 / 55,856 ( 3 % ) + Total combinational functions : 1,732 / 55,856 ( 3 % ) + Dedicated logic registers : 537 / 55,856 ( < 1 % ) +Total registers : 537 +Total pins : 80 / 328 ( 24 % ) +Total virtual pins : 0 +Total memory bits : 81,920 / 2,396,160 ( 3 % ) +Embedded Multiplier 9-bit elements : 2 / 312 ( < 1 % ) +Total PLLs : 0 / 4 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Cyclone III (EP3C55F484C), speedgrade: -7 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== ++-------------------------------------------------+ +; Slow 1200mV 85C Model Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 41.01 MHz ; 41.01 MHz ; dco_clk ; ; ++-----------+-----------------+------------+------+ + +==================================================================================== +Total logic elements : 1,750 / 55,856 ( 3 % ) + Total combinational functions : 1,732 / 55,856 ( 3 % ) + Dedicated logic registers : 537 / 55,856 ( < 1 % ) +Total registers : 537 +Total pins : 80 / 328 ( 24 % ) +Total virtual pins : 0 +Total memory bits : 81,920 / 2,396,160 ( 3 % ) +Embedded Multiplier 9-bit elements : 2 / 312 ( < 1 % ) +Total PLLs : 0 / 4 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Cyclone III (EP3C55F484C), speedgrade: -8 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== ++-------------------------------------------------+ +; Slow 1200mV 85C Model Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 37.34 MHz ; 37.34 MHz ; dco_clk ; ; ++-----------+-----------------+------------+------+ + +==================================================================================== +Total logic elements : 1,752 / 55,856 ( 3 % ) + Total combinational functions : 1,732 / 55,856 ( 3 % ) + Dedicated logic registers : 537 / 55,856 ( < 1 % ) +Total registers : 537 +Total pins : 80 / 328 ( 24 % ) +Total virtual pins : 0 +Total memory bits : 81,920 / 2,396,160 ( 3 % ) +Embedded Multiplier 9-bit elements : 2 / 312 ( < 1 % ) +Total PLLs : 0 / 4 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Cyclone IV GX (EP4CGX22CF19C), speedgrade: -6 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== ++------------------------------------------------+ +; Slow 1200mV 85C Model Fmax Summary ; ++----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++----------+-----------------+------------+------+ +; 49.1 MHz ; 49.1 MHz ; dco_clk ; ; ++----------+-----------------+------------+------+ + +==================================================================================== +Total logic elements : 1,750 / 21,280 ( 8 % ) + Total combinational functions : 1,732 / 21,280 ( 8 % ) + Dedicated logic registers : 537 / 21,280 ( 3 % ) +Total registers : 0 +Total pins : 80 / 167 ( 48 % ) +Total virtual pins : 0 +Total memory bits : 81,920 / 774,144 ( 11 % ) +Embedded Multiplier 9-bit elements : 2 / 80 ( 3 % ) +Total GXB Receiver Channel PCS : 0 / 4 ( 0 % ) +Total GXB Receiver Channel PMA : 0 / 4 ( 0 % ) +Total GXB Transmitter Channel PCS : 0 / 4 ( 0 % ) +Total GXB Transmitter Channel PMA : 0 / 4 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Cyclone IV GX (EP4CGX22CF19C), speedgrade: -7 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== ++-------------------------------------------------+ +; Slow 1200mV 85C Model Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 42.92 MHz ; 42.92 MHz ; dco_clk ; ; ++-----------+-----------------+------------+------+ + +==================================================================================== +Total logic elements : 1,749 / 21,280 ( 8 % ) + Total combinational functions : 1,732 / 21,280 ( 8 % ) + Dedicated logic registers : 537 / 21,280 ( 3 % ) +Total registers : 0 +Total pins : 80 / 167 ( 48 % ) +Total virtual pins : 0 +Total memory bits : 81,920 / 774,144 ( 11 % ) +Embedded Multiplier 9-bit elements : 2 / 80 ( 3 % ) +Total GXB Receiver Channel PCS : 0 / 4 ( 0 % ) +Total GXB Receiver Channel PMA : 0 / 4 ( 0 % ) +Total GXB Transmitter Channel PCS : 0 / 4 ( 0 % ) +Total GXB Transmitter Channel PMA : 0 / 4 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Cyclone IV GX (EP4CGX22CF19C), speedgrade: -8 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== ++-------------------------------------------------+ +; Slow 1200mV 85C Model Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 37.09 MHz ; 37.09 MHz ; dco_clk ; ; ++-----------+-----------------+------------+------+ + +==================================================================================== +Total logic elements : 1,747 / 21,280 ( 8 % ) + Total combinational functions : 1,732 / 21,280 ( 8 % ) + Dedicated logic registers : 537 / 21,280 ( 3 % ) +Total registers : 0 +Total pins : 80 / 167 ( 48 % ) +Total virtual pins : 0 +Total memory bits : 81,920 / 774,144 ( 11 % ) +Embedded Multiplier 9-bit elements : 2 / 80 ( 3 % ) +Total GXB Receiver Channel PCS : 0 / 4 ( 0 % ) +Total GXB Receiver Channel PMA : 0 / 4 ( 0 % ) +Total GXB Transmitter Channel PCS : 0 / 4 ( 0 % ) +Total GXB Transmitter Channel PMA : 0 / 4 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Arria GX (EP1AGX50CF484C), speedgrade: -6 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== ++-------------------------------------------------+ +; Slow Model Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 45.11 MHz ; 45.11 MHz ; dco_clk ; ; ++-----------+-----------------+------------+------+ + +==================================================================================== +Logic utilization : 4 % + Combinational ALUTs : 1,160 / 40,128 ( 3 % ) + Dedicated logic registers : 539 / 40,128 ( 1 % ) +Total registers : 539 +Total pins : 80 / 254 ( 31 % ) +Total virtual pins : 0 +Total block memory bits : 81,920 / 2,475,072 ( 3 % ) +DSP block 9-bit elements : 2 / 208 ( < 1 % ) +Total GXB Receiver Channels : 0 / 4 ( 0 % ) +Total GXB Transmitter Channels : 0 / 4 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) +Total DLLs : 0 / 2 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Arria II GX (EP2AGX45DF29C), speedgrade: -4 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== ++-------------------------------------------------+ +; Slow 900mV 85C Model Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 80.28 MHz ; 80.28 MHz ; dco_clk ; ; ++-----------+-----------------+------------+------+ + +==================================================================================== +Logic utilization : 5 % + Combinational ALUTs : 1,146 / 36,100 ( 3 % ) + Memory ALUTs : 0 / 18,050 ( 0 % ) + Dedicated logic registers : 540 / 36,100 ( 1 % ) +Total registers : 540 +Total pins : 80 / 404 ( 20 % ) +Total virtual pins : 0 +Total block memory bits : 81,920 / 2,939,904 ( 3 % ) +DSP block 18-bit elements : 2 / 232 ( < 1 % ) +Total GXB Receiver Channel PCS : 0 / 8 ( 0 % ) +Total GXB Receiver Channel PMA : 0 / 8 ( 0 % ) +Total GXB Transmitter Channel PCS : 0 / 8 ( 0 % ) +Total GXB Transmitter Channel PMA : 0 / 8 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) +Total DLLs : 0 / 2 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Arria II GX (EP2AGX45DF29C), speedgrade: -5 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== ++-------------------------------------------------+ +; Slow 900mV 85C Model Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 71.11 MHz ; 71.11 MHz ; dco_clk ; ; ++-----------+-----------------+------------+------+ + +==================================================================================== +Logic utilization : 5 % + Combinational ALUTs : 1,148 / 36,100 ( 3 % ) + Memory ALUTs : 0 / 18,050 ( 0 % ) + Dedicated logic registers : 539 / 36,100 ( 1 % ) +Total registers : 539 +Total pins : 80 / 404 ( 20 % ) +Total virtual pins : 0 +Total block memory bits : 81,920 / 2,939,904 ( 3 % ) +DSP block 18-bit elements : 2 / 232 ( < 1 % ) +Total GXB Receiver Channel PCS : 0 / 8 ( 0 % ) +Total GXB Receiver Channel PMA : 0 / 8 ( 0 % ) +Total GXB Transmitter Channel PCS : 0 / 8 ( 0 % ) +Total GXB Transmitter Channel PMA : 0 / 8 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) +Total DLLs : 0 / 2 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Arria II GX (EP2AGX45DF29C), speedgrade: -6 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== ++-------------------------------------------------+ +; Slow 900mV 85C Model Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 63.11 MHz ; 63.11 MHz ; dco_clk ; ; ++-----------+-----------------+------------+------+ + +==================================================================================== +Logic utilization : 5 % + Combinational ALUTs : 1,143 / 36,100 ( 3 % ) + Memory ALUTs : 0 / 18,050 ( 0 % ) + Dedicated logic registers : 539 / 36,100 ( 1 % ) +Total registers : 539 +Total pins : 80 / 404 ( 20 % ) +Total virtual pins : 0 +Total block memory bits : 81,920 / 2,939,904 ( 3 % ) +DSP block 18-bit elements : 2 / 232 ( < 1 % ) +Total GXB Receiver Channel PCS : 0 / 8 ( 0 % ) +Total GXB Receiver Channel PMA : 0 / 8 ( 0 % ) +Total GXB Transmitter Channel PCS : 0 / 8 ( 0 % ) +Total GXB Transmitter Channel PMA : 0 / 8 ( 0 % ) +Total PLLs : 0 / 4 ( 0 % ) +Total DLLs : 0 / 2 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Stratix (EP1S10F484C), speedgrade: -5 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Type : Clock Setup: 'dco_clk' +Slack : -18.846 ns +Required Time : 240.04 MHz ( period = 4.166 ns ) +Actual Time : 43.46 MHz ( period = 23.012 ns ) + +==================================================================================== +Total logic elements : 1,730 / 10,570 ( 16 % ) +Total pins : 80 / 336 ( 24 % ) +Total virtual pins : 0 +Total memory bits : 81,920 / 920,448 ( 9 % ) +DSP block 9-bit elements : 2 / 48 ( 4 % ) +Total PLLs : 0 / 6 ( 0 % ) +Total DLLs : 0 / 2 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Stratix (EP1S10F484C), speedgrade: -6 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Type : Clock Setup: 'dco_clk' +Slack : -22.238 ns +Required Time : 240.04 MHz ( period = 4.166 ns ) +Actual Time : 37.87 MHz ( period = 26.404 ns ) + +==================================================================================== +Total logic elements : 1,730 / 10,570 ( 16 % ) +Total pins : 80 / 336 ( 24 % ) +Total virtual pins : 0 +Total memory bits : 81,920 / 920,448 ( 9 % ) +DSP block 9-bit elements : 2 / 48 ( 4 % ) +Total PLLs : 0 / 6 ( 0 % ) +Total DLLs : 0 / 2 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Stratix (EP1S10F484C), speedgrade: -7 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Type : Clock Setup: 'dco_clk' +Slack : -25.875 ns +Required Time : 240.04 MHz ( period = 4.166 ns ) +Actual Time : 33.29 MHz ( period = 30.041 ns ) + +==================================================================================== +Total logic elements : 1,730 / 10,570 ( 16 % ) +Total pins : 80 / 336 ( 24 % ) +Total virtual pins : 0 +Total memory bits : 81,920 / 920,448 ( 9 % ) +DSP block 9-bit elements : 2 / 48 ( 4 % ) +Total PLLs : 0 / 6 ( 0 % ) +Total DLLs : 0 / 2 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Stratix II (EP2S15F484C), speedgrade: -3 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Type : Clock Setup: 'dco_clk' +Slack : -10.358 ns +Required Time : 240.04 MHz ( period = 4.166 ns ) +Actual Time : 68.85 MHz ( period = 14.524 ns ) + +==================================================================================== +Logic utilization : 13 % + Combinational ALUTs : 1,145 / 12,480 ( 9 % ) + Dedicated logic registers : 540 / 12,480 ( 4 % ) +Total registers : 540 +Total pins : 80 / 343 ( 23 % ) +Total virtual pins : 0 +Total block memory bits : 81,920 / 419,328 ( 20 % ) +DSP block 9-bit elements : 2 / 96 ( 2 % ) +Total PLLs : 0 / 6 ( 0 % ) +Total DLLs : 0 / 2 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Stratix II (EP2S15F484C), speedgrade: -4 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Type : Clock Setup: 'dco_clk' +Slack : -12.410 ns +Required Time : 240.04 MHz ( period = 4.166 ns ) +Actual Time : 60.33 MHz ( period = 16.576 ns ) + +==================================================================================== +Logic utilization : 13 % + Combinational ALUTs : 1,157 / 12,480 ( 9 % ) + Dedicated logic registers : 540 / 12,480 ( 4 % ) +Total registers : 540 +Total pins : 80 / 343 ( 23 % ) +Total virtual pins : 0 +Total block memory bits : 81,920 / 419,328 ( 20 % ) +DSP block 9-bit elements : 2 / 96 ( 2 % ) +Total PLLs : 0 / 6 ( 0 % ) +Total DLLs : 0 / 2 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Stratix II (EP2S15F484C), speedgrade: -5 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Type : Clock Setup: 'dco_clk' +Slack : -15.087 ns +Required Time : 240.04 MHz ( period = 4.166 ns ) +Actual Time : 51.94 MHz ( period = 19.253 ns ) + +==================================================================================== +Logic utilization : 13 % + Combinational ALUTs : 1,155 / 12,480 ( 9 % ) + Dedicated logic registers : 541 / 12,480 ( 4 % ) +Total registers : 541 +Total pins : 80 / 343 ( 23 % ) +Total virtual pins : 0 +Total block memory bits : 81,920 / 419,328 ( 20 % ) +DSP block 9-bit elements : 2 / 96 ( 2 % ) +Total PLLs : 0 / 6 ( 0 % ) +Total DLLs : 0 / 2 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Stratix III (EP3SE50F484C), speedgrade: -2 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== ++-------------------------------------------------+ +; Slow 1100mV 85C Model Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 87.91 MHz ; 87.91 MHz ; dco_clk ; ; ++-----------+-----------------+------------+------+ + +==================================================================================== +Logic utilization : 5 % + Combinational ALUTs : 1,147 / 38,000 ( 3 % ) + Memory ALUTs : 0 / 19,000 ( 0 % ) + Dedicated logic registers : 538 / 38,000 ( 1 % ) +Total registers : 538 +Total pins : 80 / 296 ( 27 % ) +Total virtual pins : 0 +Total block memory bits : 81,920 / 5,455,872 ( 2 % ) +DSP block 18-bit elements : 2 / 384 ( < 1 % ) +Total PLLs : 0 / 4 ( 0 % ) +Total DLLs : 0 / 4 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Stratix III (EP3SE50F484C), speedgrade: -3 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== ++-------------------------------------------------+ +; Slow 1100mV 85C Model Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 81.95 MHz ; 81.95 MHz ; dco_clk ; ; ++-----------+-----------------+------------+------+ + +==================================================================================== +Logic utilization : 4 % + Combinational ALUTs : 1,142 / 38,000 ( 3 % ) + Memory ALUTs : 0 / 19,000 ( 0 % ) + Dedicated logic registers : 539 / 38,000 ( 1 % ) +Total registers : 539 +Total pins : 80 / 296 ( 27 % ) +Total virtual pins : 0 +Total block memory bits : 81,920 / 5,455,872 ( 2 % ) +DSP block 18-bit elements : 2 / 384 ( < 1 % ) +Total PLLs : 0 / 4 ( 0 % ) +Total DLLs : 0 / 4 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# Stratix III (EP3SE50F484C), speedgrade: -4 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== ++-------------------------------------------------+ +; Slow 1100mV 85C Model Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 72.61 MHz ; 72.61 MHz ; dco_clk ; ; ++-----------+-----------------+------------+------+ + +==================================================================================== +Logic utilization : 5 % + Combinational ALUTs : 1,147 / 38,000 ( 3 % ) + Memory ALUTs : 0 / 19,000 ( 0 % ) + Dedicated logic registers : 539 / 38,000 ( 1 % ) +Total registers : 539 +Total pins : 80 / 296 ( 27 % ) +Total virtual pins : 0 +Total block memory bits : 81,920 / 5,455,872 ( 2 % ) +DSP block 18-bit elements : 2 / 384 ( < 1 % ) +Total PLLs : 0 / 4 ( 0 % ) +Total DLLs : 0 / 4 ( 0 % ) + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### +
altera/run_analysis.area.mpy.log Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: altera/src/openMSP430_defines.v =================================================================== --- altera/src/openMSP430_defines.v (revision 64) +++ altera/src/openMSP430_defines.v (revision 68) @@ -62,6 +62,10 @@ // 14 -> 32 kB `define DMEM_AWIDTH 10 +// Include/Exclude Hardware Multiplier +`define MULTIPLIER + + //---------------------------------------------------------------------------- // REMOTE DEBUGGING INTERFACE CONFIGURATION //---------------------------------------------------------------------------- @@ -298,3 +302,12 @@ `endif `endif +// +// MULTIPLIER CONFIGURATION +//====================================== + +// If uncommented, the following define selects +// the 16x16 multiplier (1 cycle) instead of the +// default 16x8 multplier (2 cycles) +//`define MPY_16x16 +
altera/src/megawizard Property changes : Added: svn:ignore ## -0,0 +1 ## +WORK Index: altera/run_analysis.tcl =================================================================== --- altera/run_analysis.tcl (revision 64) +++ altera/run_analysis.tcl (revision 68) @@ -51,18 +51,16 @@ {"Stratix" EP1S10F484C {5 6 7}} {"Stratix II" EP2S15F484C {3 4 5}} {"Stratix III" EP3SE50F484C {2 3 4}}} -set fpgaConfigs {{"Cyclone II" EP2C20F484C {7}}} - - + # Set the different RTL configurations to be analysed -set rtlDefines {PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3} -set rtlConfigs {{ 12 10 0 0 0 0 0 } - { 12 10 1 0 0 0 0 } - { 12 10 1 1 0 0 0 } - { 12 10 1 1 1 0 0 } - { 12 10 1 1 1 1 0 } - { 12 10 1 1 1 1 1 }} -set rtlConfigs {{ 12 10 0 0 0 0 0 }} +set rtlDefines {PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER} +set rtlConfigs {{ 12 10 0 0 0 0 0 0} + { 12 10 1 0 0 0 0 0} + { 12 10 1 1 0 0 0 0} + { 12 10 1 1 1 0 0 0} + { 12 10 1 1 1 1 0 0} + { 12 10 1 1 1 1 1 0}} +set rtlConfigs {{ 12 10 0 0 0 0 0 1}} # RTL configuration files
/altera/run_analysis.speed.mpy.log
0,0 → 1,691
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Cyclone II (EP2C20F484C), speedgrade: -6
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Type : Clock Setup: 'dco_clk'
Slack : -16.965 ns
Required Time : 240.04 MHz ( period = 4.166 ns )
Actual Time : 47.32 MHz ( period = 21.131 ns )
 
====================================================================================
Total logic elements : 1,951 / 18,752 ( 10 % )
Total combinational functions : 1,889 / 18,752 ( 10 % )
Dedicated logic registers : 537 / 18,752 ( 3 % )
Total registers : 537
Total pins : 80 / 315 ( 25 % )
Total virtual pins : 0
Total memory bits : 81,920 / 239,616 ( 34 % )
Embedded Multiplier 9-bit elements : 2 / 52 ( 4 % )
Total PLLs : 0 / 4 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Cyclone II (EP2C20F484C), speedgrade: -7
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Type : Clock Setup: 'dco_clk'
Slack : -20.686 ns
Required Time : 240.04 MHz ( period = 4.166 ns )
Actual Time : 40.24 MHz ( period = 24.852 ns )
 
====================================================================================
Total logic elements : 1,947 / 18,752 ( 10 % )
Total combinational functions : 1,889 / 18,752 ( 10 % )
Dedicated logic registers : 537 / 18,752 ( 3 % )
Total registers : 537
Total pins : 80 / 315 ( 25 % )
Total virtual pins : 0
Total memory bits : 81,920 / 239,616 ( 34 % )
Embedded Multiplier 9-bit elements : 2 / 52 ( 4 % )
Total PLLs : 0 / 4 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Cyclone II (EP2C20F484C), speedgrade: -8
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Type : Clock Setup: 'dco_clk'
Slack : -26.167 ns
Required Time : 240.04 MHz ( period = 4.166 ns )
Actual Time : 32.97 MHz ( period = 30.333 ns )
 
====================================================================================
Total logic elements : 1,945 / 18,752 ( 10 % )
Total combinational functions : 1,889 / 18,752 ( 10 % )
Dedicated logic registers : 537 / 18,752 ( 3 % )
Total registers : 537
Total pins : 80 / 315 ( 25 % )
Total virtual pins : 0
Total memory bits : 81,920 / 239,616 ( 34 % )
Embedded Multiplier 9-bit elements : 2 / 52 ( 4 % )
Total PLLs : 0 / 4 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Cyclone III (EP3C55F484C), speedgrade: -6
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
+-------------------------------------------------+
; Slow 1200mV 85C Model Fmax Summary ;
+-----------+-----------------+------------+------+
; Fmax ; Restricted Fmax ; Clock Name ; Note ;
+-----------+-----------------+------------+------+
; 54.11 MHz ; 54.11 MHz ; dco_clk ; ;
+-----------+-----------------+------------+------+
 
====================================================================================
Total logic elements : 1,916 / 55,856 ( 3 % )
Total combinational functions : 1,900 / 55,856 ( 3 % )
Dedicated logic registers : 537 / 55,856 ( < 1 % )
Total registers : 537
Total pins : 80 / 328 ( 24 % )
Total virtual pins : 0
Total memory bits : 81,920 / 2,396,160 ( 3 % )
Embedded Multiplier 9-bit elements : 2 / 312 ( < 1 % )
Total PLLs : 0 / 4 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Cyclone III (EP3C55F484C), speedgrade: -7
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
+-------------------------------------------------+
; Slow 1200mV 85C Model Fmax Summary ;
+-----------+-----------------+------------+------+
; Fmax ; Restricted Fmax ; Clock Name ; Note ;
+-----------+-----------------+------------+------+
; 43.88 MHz ; 43.88 MHz ; dco_clk ; ;
+-----------+-----------------+------------+------+
 
====================================================================================
Total logic elements : 1,915 / 55,856 ( 3 % )
Total combinational functions : 1,896 / 55,856 ( 3 % )
Dedicated logic registers : 537 / 55,856 ( < 1 % )
Total registers : 537
Total pins : 80 / 328 ( 24 % )
Total virtual pins : 0
Total memory bits : 81,920 / 2,396,160 ( 3 % )
Embedded Multiplier 9-bit elements : 2 / 312 ( < 1 % )
Total PLLs : 0 / 4 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Cyclone III (EP3C55F484C), speedgrade: -8
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
+-------------------------------------------------+
; Slow 1200mV 85C Model Fmax Summary ;
+-----------+-----------------+------------+------+
; Fmax ; Restricted Fmax ; Clock Name ; Note ;
+-----------+-----------------+------------+------+
; 38.68 MHz ; 38.68 MHz ; dco_clk ; ;
+-----------+-----------------+------------+------+
 
====================================================================================
Total logic elements : 1,923 / 55,856 ( 3 % )
Total combinational functions : 1,901 / 55,856 ( 3 % )
Dedicated logic registers : 537 / 55,856 ( < 1 % )
Total registers : 537
Total pins : 80 / 328 ( 24 % )
Total virtual pins : 0
Total memory bits : 81,920 / 2,396,160 ( 3 % )
Embedded Multiplier 9-bit elements : 2 / 312 ( < 1 % )
Total PLLs : 0 / 4 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Cyclone IV GX (EP4CGX22CF19C), speedgrade: -6
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
+-------------------------------------------------+
; Slow 1200mV 85C Model Fmax Summary ;
+-----------+-----------------+------------+------+
; Fmax ; Restricted Fmax ; Clock Name ; Note ;
+-----------+-----------------+------------+------+
; 51.77 MHz ; 51.77 MHz ; dco_clk ; ;
+-----------+-----------------+------------+------+
 
====================================================================================
Total logic elements : 1,929 / 21,280 ( 9 % )
Total combinational functions : 1,905 / 21,280 ( 9 % )
Dedicated logic registers : 537 / 21,280 ( 3 % )
Total registers : 0
Total pins : 80 / 167 ( 48 % )
Total virtual pins : 0
Total memory bits : 81,920 / 774,144 ( 11 % )
Embedded Multiplier 9-bit elements : 2 / 80 ( 3 % )
Total GXB Receiver Channel PCS : 0 / 4 ( 0 % )
Total GXB Receiver Channel PMA : 0 / 4 ( 0 % )
Total GXB Transmitter Channel PCS : 0 / 4 ( 0 % )
Total GXB Transmitter Channel PMA : 0 / 4 ( 0 % )
Total PLLs : 0 / 4 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Cyclone IV GX (EP4CGX22CF19C), speedgrade: -7
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
+-------------------------------------------------+
; Slow 1200mV 85C Model Fmax Summary ;
+-----------+-----------------+------------+------+
; Fmax ; Restricted Fmax ; Clock Name ; Note ;
+-----------+-----------------+------------+------+
; 44.19 MHz ; 44.19 MHz ; dco_clk ; ;
+-----------+-----------------+------------+------+
 
====================================================================================
Total logic elements : 1,924 / 21,280 ( 9 % )
Total combinational functions : 1,905 / 21,280 ( 9 % )
Dedicated logic registers : 537 / 21,280 ( 3 % )
Total registers : 0
Total pins : 80 / 167 ( 48 % )
Total virtual pins : 0
Total memory bits : 81,920 / 774,144 ( 11 % )
Embedded Multiplier 9-bit elements : 2 / 80 ( 3 % )
Total GXB Receiver Channel PCS : 0 / 4 ( 0 % )
Total GXB Receiver Channel PMA : 0 / 4 ( 0 % )
Total GXB Transmitter Channel PCS : 0 / 4 ( 0 % )
Total GXB Transmitter Channel PMA : 0 / 4 ( 0 % )
Total PLLs : 0 / 4 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Cyclone IV GX (EP4CGX22CF19C), speedgrade: -8
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
+-------------------------------------------------+
; Slow 1200mV 85C Model Fmax Summary ;
+-----------+-----------------+------------+------+
; Fmax ; Restricted Fmax ; Clock Name ; Note ;
+-----------+-----------------+------------+------+
; 37.67 MHz ; 37.67 MHz ; dco_clk ; ;
+-----------+-----------------+------------+------+
 
====================================================================================
Total logic elements : 1,920 / 21,280 ( 9 % )
Total combinational functions : 1,899 / 21,280 ( 9 % )
Dedicated logic registers : 537 / 21,280 ( 3 % )
Total registers : 0
Total pins : 80 / 167 ( 48 % )
Total virtual pins : 0
Total memory bits : 81,920 / 774,144 ( 11 % )
Embedded Multiplier 9-bit elements : 2 / 80 ( 3 % )
Total GXB Receiver Channel PCS : 0 / 4 ( 0 % )
Total GXB Receiver Channel PMA : 0 / 4 ( 0 % )
Total GXB Transmitter Channel PCS : 0 / 4 ( 0 % )
Total GXB Transmitter Channel PMA : 0 / 4 ( 0 % )
Total PLLs : 0 / 4 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Arria GX (EP1AGX50CF484C), speedgrade: -6
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
+-------------------------------------------------+
; Slow Model Fmax Summary ;
+-----------+-----------------+------------+------+
; Fmax ; Restricted Fmax ; Clock Name ; Note ;
+-----------+-----------------+------------+------+
; 49.23 MHz ; 49.23 MHz ; dco_clk ; ;
+-----------+-----------------+------------+------+
 
====================================================================================
Logic utilization : 5 %
Combinational ALUTs : 1,254 / 40,128 ( 3 % )
Dedicated logic registers : 540 / 40,128 ( 1 % )
Total registers : 540
Total pins : 80 / 254 ( 31 % )
Total virtual pins : 0
Total block memory bits : 81,920 / 2,475,072 ( 3 % )
DSP block 9-bit elements : 2 / 208 ( < 1 % )
Total GXB Receiver Channels : 0 / 4 ( 0 % )
Total GXB Transmitter Channels : 0 / 4 ( 0 % )
Total PLLs : 0 / 4 ( 0 % )
Total DLLs : 0 / 2 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Arria II GX (EP2AGX45DF29C), speedgrade: -4
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
+-------------------------------------------------+
; Slow 900mV 85C Model Fmax Summary ;
+-----------+-----------------+------------+------+
; Fmax ; Restricted Fmax ; Clock Name ; Note ;
+-----------+-----------------+------------+------+
; 83.22 MHz ; 83.22 MHz ; dco_clk ; ;
+-----------+-----------------+------------+------+
 
====================================================================================
Logic utilization : 5 %
Combinational ALUTs : 1,256 / 36,100 ( 3 % )
Memory ALUTs : 0 / 18,050 ( 0 % )
Dedicated logic registers : 538 / 36,100 ( 1 % )
Total registers : 538
Total pins : 80 / 404 ( 20 % )
Total virtual pins : 0
Total block memory bits : 81,920 / 2,939,904 ( 3 % )
DSP block 18-bit elements : 2 / 232 ( < 1 % )
Total GXB Receiver Channel PCS : 0 / 8 ( 0 % )
Total GXB Receiver Channel PMA : 0 / 8 ( 0 % )
Total GXB Transmitter Channel PCS : 0 / 8 ( 0 % )
Total GXB Transmitter Channel PMA : 0 / 8 ( 0 % )
Total PLLs : 0 / 4 ( 0 % )
Total DLLs : 0 / 2 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Arria II GX (EP2AGX45DF29C), speedgrade: -5
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
+-------------------------------------------------+
; Slow 900mV 85C Model Fmax Summary ;
+-----------+-----------------+------------+------+
; Fmax ; Restricted Fmax ; Clock Name ; Note ;
+-----------+-----------------+------------+------+
; 72.65 MHz ; 72.65 MHz ; dco_clk ; ;
+-----------+-----------------+------------+------+
 
====================================================================================
Logic utilization : 5 %
Combinational ALUTs : 1,249 / 36,100 ( 3 % )
Memory ALUTs : 0 / 18,050 ( 0 % )
Dedicated logic registers : 538 / 36,100 ( 1 % )
Total registers : 538
Total pins : 80 / 404 ( 20 % )
Total virtual pins : 0
Total block memory bits : 81,920 / 2,939,904 ( 3 % )
DSP block 18-bit elements : 2 / 232 ( < 1 % )
Total GXB Receiver Channel PCS : 0 / 8 ( 0 % )
Total GXB Receiver Channel PMA : 0 / 8 ( 0 % )
Total GXB Transmitter Channel PCS : 0 / 8 ( 0 % )
Total GXB Transmitter Channel PMA : 0 / 8 ( 0 % )
Total PLLs : 0 / 4 ( 0 % )
Total DLLs : 0 / 2 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Arria II GX (EP2AGX45DF29C), speedgrade: -6
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
+-------------------------------------------------+
; Slow 900mV 85C Model Fmax Summary ;
+-----------+-----------------+------------+------+
; Fmax ; Restricted Fmax ; Clock Name ; Note ;
+-----------+-----------------+------------+------+
; 61.59 MHz ; 61.59 MHz ; dco_clk ; ;
+-----------+-----------------+------------+------+
 
====================================================================================
Logic utilization : 5 %
Combinational ALUTs : 1,250 / 36,100 ( 3 % )
Memory ALUTs : 0 / 18,050 ( 0 % )
Dedicated logic registers : 538 / 36,100 ( 1 % )
Total registers : 538
Total pins : 80 / 404 ( 20 % )
Total virtual pins : 0
Total block memory bits : 81,920 / 2,939,904 ( 3 % )
DSP block 18-bit elements : 2 / 232 ( < 1 % )
Total GXB Receiver Channel PCS : 0 / 8 ( 0 % )
Total GXB Receiver Channel PMA : 0 / 8 ( 0 % )
Total GXB Transmitter Channel PCS : 0 / 8 ( 0 % )
Total GXB Transmitter Channel PMA : 0 / 8 ( 0 % )
Total PLLs : 0 / 4 ( 0 % )
Total DLLs : 0 / 2 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Stratix (EP1S10F484C), speedgrade: -5
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Type : Clock Setup: 'dco_clk'
Slack : -18.885 ns
Required Time : 240.04 MHz ( period = 4.166 ns )
Actual Time : 43.38 MHz ( period = 23.051 ns )
 
====================================================================================
Total logic elements : 1,964 / 10,570 ( 19 % )
Total pins : 80 / 336 ( 24 % )
Total virtual pins : 0
Total memory bits : 81,920 / 920,448 ( 9 % )
DSP block 9-bit elements : 2 / 48 ( 4 % )
Total PLLs : 0 / 6 ( 0 % )
Total DLLs : 0 / 2 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Stratix (EP1S10F484C), speedgrade: -6
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Type : Clock Setup: 'dco_clk'
Slack : -20.380 ns
Required Time : 240.04 MHz ( period = 4.166 ns )
Actual Time : 40.74 MHz ( period = 24.546 ns )
 
====================================================================================
Total logic elements : 1,964 / 10,570 ( 19 % )
Total pins : 80 / 336 ( 24 % )
Total virtual pins : 0
Total memory bits : 81,920 / 920,448 ( 9 % )
DSP block 9-bit elements : 2 / 48 ( 4 % )
Total PLLs : 0 / 6 ( 0 % )
Total DLLs : 0 / 2 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Stratix (EP1S10F484C), speedgrade: -7
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Type : Clock Setup: 'dco_clk'
Slack : -24.676 ns
Required Time : 240.04 MHz ( period = 4.166 ns )
Actual Time : 34.67 MHz ( period = 28.842 ns )
 
====================================================================================
Total logic elements : 1,964 / 10,570 ( 19 % )
Total pins : 80 / 336 ( 24 % )
Total virtual pins : 0
Total memory bits : 81,920 / 920,448 ( 9 % )
DSP block 9-bit elements : 2 / 48 ( 4 % )
Total PLLs : 0 / 6 ( 0 % )
Total DLLs : 0 / 2 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Stratix II (EP2S15F484C), speedgrade: -3
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Type : Clock Setup: 'dco_clk'
Slack : -9.481 ns
Required Time : 240.04 MHz ( period = 4.166 ns )
Actual Time : 73.28 MHz ( period = 13.647 ns )
 
====================================================================================
Logic utilization : 15 %
Combinational ALUTs : 1,309 / 12,480 ( 10 % )
Dedicated logic registers : 539 / 12,480 ( 4 % )
Total registers : 539
Total pins : 80 / 343 ( 23 % )
Total virtual pins : 0
Total block memory bits : 81,920 / 419,328 ( 20 % )
DSP block 9-bit elements : 2 / 96 ( 2 % )
Total PLLs : 0 / 6 ( 0 % )
Total DLLs : 0 / 2 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Stratix II (EP2S15F484C), speedgrade: -4
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Type : Clock Setup: 'dco_clk'
Slack : -11.635 ns
Required Time : 240.04 MHz ( period = 4.166 ns )
Actual Time : 63.29 MHz ( period = 15.801 ns )
 
====================================================================================
Logic utilization : 15 %
Combinational ALUTs : 1,318 / 12,480 ( 11 % )
Dedicated logic registers : 540 / 12,480 ( 4 % )
Total registers : 540
Total pins : 80 / 343 ( 23 % )
Total virtual pins : 0
Total block memory bits : 81,920 / 419,328 ( 20 % )
DSP block 9-bit elements : 2 / 96 ( 2 % )
Total PLLs : 0 / 6 ( 0 % )
Total DLLs : 0 / 2 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Stratix II (EP2S15F484C), speedgrade: -5
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Type : Clock Setup: 'dco_clk'
Slack : -14.076 ns
Required Time : 240.04 MHz ( period = 4.166 ns )
Actual Time : 54.82 MHz ( period = 18.242 ns )
 
====================================================================================
Logic utilization : 15 %
Combinational ALUTs : 1,324 / 12,480 ( 11 % )
Dedicated logic registers : 540 / 12,480 ( 4 % )
Total registers : 540
Total pins : 80 / 343 ( 23 % )
Total virtual pins : 0
Total block memory bits : 81,920 / 419,328 ( 20 % )
DSP block 9-bit elements : 2 / 96 ( 2 % )
Total PLLs : 0 / 6 ( 0 % )
Total DLLs : 0 / 2 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Stratix III (EP3SE50F484C), speedgrade: -2
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
+-------------------------------------------------+
; Slow 1100mV 85C Model Fmax Summary ;
+-----------+-----------------+------------+------+
; Fmax ; Restricted Fmax ; Clock Name ; Note ;
+-----------+-----------------+------------+------+
; 97.68 MHz ; 97.68 MHz ; dco_clk ; ;
+-----------+-----------------+------------+------+
 
====================================================================================
Logic utilization : 5 %
Combinational ALUTs : 1,252 / 38,000 ( 3 % )
Memory ALUTs : 0 / 19,000 ( 0 % )
Dedicated logic registers : 540 / 38,000 ( 1 % )
Total registers : 540
Total pins : 80 / 296 ( 27 % )
Total virtual pins : 0
Total block memory bits : 81,920 / 5,455,872 ( 2 % )
DSP block 18-bit elements : 2 / 384 ( < 1 % )
Total PLLs : 0 / 4 ( 0 % )
Total DLLs : 0 / 4 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Stratix III (EP3SE50F484C), speedgrade: -3
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
+-------------------------------------------------+
; Slow 1100mV 85C Model Fmax Summary ;
+-----------+-----------------+------------+------+
; Fmax ; Restricted Fmax ; Clock Name ; Note ;
+-----------+-----------------+------------+------+
; 80.16 MHz ; 80.16 MHz ; dco_clk ; ;
+-----------+-----------------+------------+------+
 
====================================================================================
Logic utilization : 5 %
Combinational ALUTs : 1,259 / 38,000 ( 3 % )
Memory ALUTs : 0 / 19,000 ( 0 % )
Dedicated logic registers : 540 / 38,000 ( 1 % )
Total registers : 540
Total pins : 80 / 296 ( 27 % )
Total virtual pins : 0
Total block memory bits : 81,920 / 5,455,872 ( 2 % )
DSP block 18-bit elements : 2 / 384 ( < 1 % )
Total PLLs : 0 / 4 ( 0 % )
Total DLLs : 0 / 4 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# Stratix III (EP3SE50F484C), speedgrade: -4
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
+-------------------------------------------------+
; Slow 1100mV 85C Model Fmax Summary ;
+-----------+-----------------+------------+------+
; Fmax ; Restricted Fmax ; Clock Name ; Note ;
+-----------+-----------------+------------+------+
; 72.42 MHz ; 72.42 MHz ; dco_clk ; ;
+-----------+-----------------+------------+------+
 
====================================================================================
Logic utilization : 5 %
Combinational ALUTs : 1,249 / 38,000 ( 3 % )
Memory ALUTs : 0 / 19,000 ( 0 % )
Dedicated logic registers : 537 / 38,000 ( 1 % )
Total registers : 537
Total pins : 80 / 296 ( 27 % )
Total virtual pins : 0
Total block memory bits : 81,920 / 5,455,872 ( 2 % )
DSP block 18-bit elements : 2 / 384 ( < 1 % )
Total PLLs : 0 / 4 ( 0 % )
Total DLLs : 0 / 4 ( 0 % )
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
altera/run_analysis.speed.mpy.log Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: altera =================================================================== --- altera (revision 64) +++ altera (revision 68)
altera Property changes : Added: svn:ignore ## -0,0 +1 ## +WORK Index: xilinx/src/openMSP430_defines.v =================================================================== --- xilinx/src/openMSP430_defines.v (revision 64) +++ xilinx/src/openMSP430_defines.v (revision 68) @@ -62,6 +62,10 @@ // 14 -> 32 kB `define DMEM_AWIDTH 10 +// Include/Exclude Hardware Multiplier +`define MULTIPLIER + + //---------------------------------------------------------------------------- // REMOTE DEBUGGING INTERFACE CONFIGURATION //---------------------------------------------------------------------------- @@ -298,3 +302,12 @@ `endif `endif +// +// MULTIPLIER CONFIGURATION +//====================================== + +// If uncommented, the following define selects +// the 16x16 multiplier (1 cycle) instead of the +// default 16x8 multplier (2 cycles) +//`define MPY_16x16 +
/xilinx/run_analysis.tcl
51,19 → 51,18
{virtex4 xc4vlx25sf363 {10 11 12} {51.0 57.0 69.0}}
{virtex5 xc5vlx30ff324 {1 2 3} {75.0 82.0 97.0}}
{virtex6 xc6vlx75tff484 {1 2 3} {92.0 102.0 115.0}}}
set fpgaConfigs {{spartan3 xc3s400pq208 {5} {38.0}}}
 
 
# Set the different RTL configurations to be analysed
set rtlDefines {PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3}
set rtlConfigs {{ 12 10 0 0 0 0 0 }
{ 12 10 1 0 0 0 0 }
{ 12 10 1 1 0 0 0 }
{ 12 10 1 1 1 0 0 }
{ 12 10 1 1 1 1 0 }
{ 12 10 1 1 1 1 1 }}
set rtlDefines {PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER}
set rtlConfigs {{ 12 10 0 0 0 0 0 0}
{ 12 10 1 0 0 0 0 0}
{ 12 10 1 1 0 0 0 0}
{ 12 10 1 1 1 0 0 0}
{ 12 10 1 1 1 1 0 0}
{ 12 10 1 1 1 1 1 0}}
set clkRatios {1.00 0.95 0.85 0.85 0.85 0.85}
set rtlConfigs {{ 12 10 0 0 0 0 0 }}
set rtlConfigs {{ 12 10 0 0 0 0 0 1}}
set clkRatios {1.00}
 
 
/xilinx/run_analysis.speed.mpy.log
0,0 → 1,869
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# spartan3 (xc3s400pq208), speedgrade: -4
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 33.283| | | 1.675|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 3s400pq208-4
 
Number of Slices: 1030 out of 3584 28%
Number of Slice Flip Flops: 548 out of 7168 7%
Number of 4 input LUTs: 1967 out of 7168 27%
Number of IOs: 80
Number of bonded IOBs: 79 out of 141 56%
Number of BRAMs: 6 out of 16 37%
Number of MULT18X18s: 1 out of 16 6%
Number of GCLKs: 1 out of 8 12%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# spartan3 (xc3s400pq208), speedgrade: -5
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 29.319| | | 1.687|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 3s400pq208-5
 
Number of Slices: 1028 out of 3584 28%
Number of Slice Flip Flops: 546 out of 7168 7%
Number of 4 input LUTs: 1965 out of 7168 27%
Number of IOs: 80
Number of bonded IOBs: 79 out of 141 56%
Number of BRAMs: 6 out of 16 37%
Number of MULT18X18s: 1 out of 16 6%
Number of GCLKs: 1 out of 8 12%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# spartan3e (xc3s500epq208), speedgrade: -4
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 31.162| | | 1.457|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 3s500epq208-4
 
Number of Slices: 1054 out of 4656 22%
Number of Slice Flip Flops: 548 out of 9312 5%
Number of 4 input LUTs: 2007 out of 9312 21%
Number of IOs: 80
Number of bonded IOBs: 79 out of 158 50%
Number of BRAMs: 6 out of 20 30%
Number of MULT18X18SIOs: 1 out of 20 5%
Number of GCLKs: 1 out of 24 4%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# spartan3e (xc3s500epq208), speedgrade: -5
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 26.516| | | 1.819|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 3s500epq208-5
 
Number of Slices: 1054 out of 4656 22%
Number of Slice Flip Flops: 548 out of 9312 5%
Number of 4 input LUTs: 2008 out of 9312 21%
Number of IOs: 80
Number of bonded IOBs: 79 out of 158 50%
Number of BRAMs: 6 out of 20 30%
Number of MULT18X18SIOs: 1 out of 20 5%
Number of GCLKs: 1 out of 24 4%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# spartan3a (xc3s700aft256), speedgrade: -4
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 32.202| | | 2.032|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 3s700aft256-4
 
Number of Slices: 1035 out of 5888 17%
Number of Slice Flip Flops: 551 out of 11776 4%
Number of 4 input LUTs: 1972 out of 11776 16%
Number of IOs: 80
Number of bonded IOBs: 79 out of 161 49%
Number of BRAMs: 5 out of 20 25%
Number of MULT18X18SIOs: 1 out of 20 5%
Number of GCLKs: 1 out of 24 4%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# spartan3a (xc3s700aft256), speedgrade: -5
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 27.667| | | 1.760|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 3s700aft256-5
 
Number of Slices: 1031 out of 5888 17%
Number of Slice Flip Flops: 543 out of 11776 4%
Number of 4 input LUTs: 1965 out of 11776 16%
Number of IOs: 80
Number of bonded IOBs: 79 out of 161 49%
Number of BRAMs: 5 out of 20 25%
Number of MULT18X18SIOs: 1 out of 20 5%
Number of GCLKs: 1 out of 24 4%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# spartan3adsp (xc3sd1800acs484), speedgrade: -4
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 31.993| | | 2.000|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 3sd1800acs484-4
 
Number of Slices: 1049 out of 16640 6%
Number of Slice Flip Flops: 549 out of 33280 1%
Number of 4 input LUTs: 1988 out of 33280 5%
Number of IOs: 80
Number of bonded IOBs: 79 out of 309 25%
Number of BRAMs: 5 out of 84 5%
Number of GCLKs: 1 out of 24 4%
Number of DSP48s: 1 out of 84 1%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# spartan3adsp (xc3sd1800acs484), speedgrade: -5
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 26.605| | | 1.346|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 3sd1800acs484-5
 
Number of Slices: 1034 out of 16640 6%
Number of Slice Flip Flops: 550 out of 33280 1%
Number of 4 input LUTs: 1967 out of 33280 5%
Number of IOs: 80
Number of bonded IOBs: 79 out of 309 25%
Number of BRAMs: 5 out of 84 5%
Number of GCLKs: 1 out of 24 4%
Number of DSP48s: 1 out of 84 1%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# spartan6 (xc6slx45tfgg484), speedgrade: -2
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 24.371| 6.986| 3.176| 2.681|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 6slx45tfgg484-2
 
 
Slice Logic Utilization:
Number of Slice Registers: 537 out of 54576 0%
Number of Slice LUTs: 1714 out of 27288 6%
Number used as Logic: 1714 out of 27288 6%
 
Slice Logic Distribution:
Number of LUT Flip Flop pairs used: 1827
Number with an unused Flip Flop: 1290 out of 1827 70%
Number with an unused LUT: 113 out of 1827 6%
Number of fully used LUT-FF pairs: 424 out of 1827 23%
Number of unique control sets: 46
 
IO Utilization:
Number of IOs: 80
Number of bonded IOBs: 79 out of 296 26%
 
Specific Feature Utilization:
Number of Block RAM/FIFO: 5 out of 348 1%
Number using Block RAM only: 5
Number of BUFG/BUFGCTRLs: 1 out of 16 6%
Number of DSP48A1s: 1 out of 58 1%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# spartan6 (xc6slx45tfgg484), speedgrade: -3
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 17.180| 5.946| 2.019| 1.844|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 6slx45tfgg484-3
 
 
Slice Logic Utilization:
Number of Slice Registers: 535 out of 54576 0%
Number of Slice LUTs: 1740 out of 27288 6%
Number used as Logic: 1740 out of 27288 6%
 
Slice Logic Distribution:
Number of LUT Flip Flop pairs used: 1836
Number with an unused Flip Flop: 1301 out of 1836 70%
Number with an unused LUT: 96 out of 1836 5%
Number of fully used LUT-FF pairs: 439 out of 1836 23%
Number of unique control sets: 48
 
IO Utilization:
Number of IOs: 80
Number of bonded IOBs: 79 out of 296 26%
 
Specific Feature Utilization:
Number of Block RAM/FIFO: 5 out of 348 1%
Number using Block RAM only: 5
Number of BUFG/BUFGCTRLs: 1 out of 16 6%
Number of DSP48A1s: 1 out of 58 1%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# spartan6 (xc6slx45tfgg484), speedgrade: -4
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 14.789| 4.575| 2.236| 1.813|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 6slx45tfgg484-4
 
 
Slice Logic Utilization:
Number of Slice Registers: 535 out of 54576 0%
Number of Slice LUTs: 1815 out of 27288 6%
Number used as Logic: 1815 out of 27288 6%
 
Slice Logic Distribution:
Number of LUT Flip Flop pairs used: 1933
Number with an unused Flip Flop: 1398 out of 1933 72%
Number with an unused LUT: 118 out of 1933 6%
Number of fully used LUT-FF pairs: 417 out of 1933 21%
Number of unique control sets: 47
 
IO Utilization:
Number of IOs: 80
Number of bonded IOBs: 79 out of 296 26%
 
Specific Feature Utilization:
Number of Block RAM/FIFO: 5 out of 348 1%
Number using Block RAM only: 5
Number of BUFG/BUFGCTRLs: 1 out of 16 6%
Number of DSP48A1s: 1 out of 58 1%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# virtex4 (xc4vlx25sf363), speedgrade: -10
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 19.543| | | 0.967|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 4vlx25sf363-10
 
Number of Slices: 1040 out of 10752 9%
Number of Slice Flip Flops: 547 out of 21504 2%
Number of 4 input LUTs: 1975 out of 21504 9%
Number of IOs: 80
Number of bonded IOBs: 79 out of 240 32%
Number of FIFO16/RAMB16s: 5 out of 72 6%
Number used as RAMB16s: 5
Number of GCLKs: 1 out of 32 3%
Number of DSP48s: 1 out of 48 2%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# virtex4 (xc4vlx25sf363), speedgrade: -11
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 17.812| | | 1.014|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 4vlx25sf363-11
 
Number of Slices: 1039 out of 10752 9%
Number of Slice Flip Flops: 549 out of 21504 2%
Number of 4 input LUTs: 1973 out of 21504 9%
Number of IOs: 80
Number of bonded IOBs: 79 out of 240 32%
Number of FIFO16/RAMB16s: 5 out of 72 6%
Number used as RAMB16s: 5
Number of GCLKs: 1 out of 32 3%
Number of DSP48s: 1 out of 48 2%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# virtex4 (xc4vlx25sf363), speedgrade: -12
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 15.481| | | 0.914|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 4vlx25sf363-12
 
Number of Slices: 1040 out of 10752 9%
Number of Slice Flip Flops: 549 out of 21504 2%
Number of 4 input LUTs: 1974 out of 21504 9%
Number of IOs: 80
Number of bonded IOBs: 79 out of 240 32%
Number of FIFO16/RAMB16s: 5 out of 72 6%
Number used as RAMB16s: 5
Number of GCLKs: 1 out of 32 3%
Number of DSP48s: 1 out of 48 2%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# virtex5 (xc5vlx30ff324), speedgrade: -1
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 13.389| | | 1.305|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 5vlx30ff324-1
 
 
Slice Logic Utilization:
Number of Slice Registers: 538 out of 19200 2%
Number of Slice LUTs: 1607 out of 19200 8%
Number used as Logic: 1607 out of 19200 8%
 
Slice Logic Distribution:
Number of LUT Flip Flop pairs used: 1730
Number with an unused Flip Flop: 1192 out of 1730 68%
Number with an unused LUT: 123 out of 1730 7%
Number of fully used LUT-FF pairs: 415 out of 1730 23%
Number of unique control sets: 47
 
IO Utilization:
Number of IOs: 80
Number of bonded IOBs: 79 out of 220 35%
 
Specific Feature Utilization:
Number of Block RAM/FIFO: 3 out of 32 9%
Number using Block RAM only: 3
Number of BUFG/BUFGCTRLs: 1 out of 32 3%
Number of DSP48Es: 1 out of 32 3%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# virtex5 (xc5vlx30ff324), speedgrade: -2
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 12.178| | | 0.677|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 5vlx30ff324-2
 
 
Slice Logic Utilization:
Number of Slice Registers: 537 out of 19200 2%
Number of Slice LUTs: 1606 out of 19200 8%
Number used as Logic: 1606 out of 19200 8%
 
Slice Logic Distribution:
Number of LUT Flip Flop pairs used: 1709
Number with an unused Flip Flop: 1172 out of 1709 68%
Number with an unused LUT: 103 out of 1709 6%
Number of fully used LUT-FF pairs: 434 out of 1709 25%
Number of unique control sets: 47
 
IO Utilization:
Number of IOs: 80
Number of bonded IOBs: 79 out of 220 35%
 
Specific Feature Utilization:
Number of Block RAM/FIFO: 3 out of 32 9%
Number using Block RAM only: 3
Number of BUFG/BUFGCTRLs: 1 out of 32 3%
Number of DSP48Es: 1 out of 32 3%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# virtex5 (xc5vlx30ff324), speedgrade: -3
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 10.283| | | 0.589|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 5vlx30ff324-3
 
 
Slice Logic Utilization:
Number of Slice Registers: 534 out of 19200 2%
Number of Slice LUTs: 1590 out of 19200 8%
Number used as Logic: 1590 out of 19200 8%
 
Slice Logic Distribution:
Number of LUT Flip Flop pairs used: 1680
Number with an unused Flip Flop: 1146 out of 1680 68%
Number with an unused LUT: 90 out of 1680 5%
Number of fully used LUT-FF pairs: 444 out of 1680 26%
Number of unique control sets: 47
 
IO Utilization:
Number of IOs: 80
Number of bonded IOBs: 79 out of 220 35%
 
Specific Feature Utilization:
Number of Block RAM/FIFO: 3 out of 32 9%
Number using Block RAM only: 3
Number of BUFG/BUFGCTRLs: 1 out of 32 3%
Number of DSP48Es: 1 out of 32 3%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# virtex6 (xc6vlx75tff484), speedgrade: -1
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 10.800| 3.353| 3.579| 0.591|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 6vlx75tff484-1
 
 
Slice Logic Utilization:
Number of Slice Registers: 538 out of 93120 0%
Number of Slice LUTs: 1691 out of 46560 3%
Number used as Logic: 1691 out of 46560 3%
 
Slice Logic Distribution:
Number of LUT Flip Flop pairs used: 1760
Number with an unused Flip Flop: 1222 out of 1760 69%
Number with an unused LUT: 69 out of 1760 3%
Number of fully used LUT-FF pairs: 469 out of 1760 26%
Number of unique control sets: 47
 
IO Utilization:
Number of IOs: 80
Number of bonded IOBs: 79 out of 240 32%
 
Specific Feature Utilization:
Number of Block RAM/FIFO: 3 out of 156 1%
Number using Block RAM only: 3
Number of BUFG/BUFGCTRLs: 1 out of 32 3%
Number of DSP48E1s: 1 out of 288 0%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# virtex6 (xc6vlx75tff484), speedgrade: -2
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 10.255| 3.286| 1.471| 0.601|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 6vlx75tff484-2
 
 
Slice Logic Utilization:
Number of Slice Registers: 536 out of 93120 0%
Number of Slice LUTs: 1613 out of 46560 3%
Number used as Logic: 1613 out of 46560 3%
 
Slice Logic Distribution:
Number of LUT Flip Flop pairs used: 1678
Number with an unused Flip Flop: 1142 out of 1678 68%
Number with an unused LUT: 65 out of 1678 3%
Number of fully used LUT-FF pairs: 471 out of 1678 28%
Number of unique control sets: 48
 
IO Utilization:
Number of IOs: 80
Number of bonded IOBs: 79 out of 240 32%
 
Specific Feature Utilization:
Number of Block RAM/FIFO: 3 out of 156 1%
Number using Block RAM only: 3
Number of BUFG/BUFGCTRLs: 1 out of 32 3%
Number of DSP48E1s: 1 out of 288 0%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
#####################################################################################
# START SYNTHESIS (SPEED optimized)
#====================================================================================
# virtex6 (xc6vlx75tff484), speedgrade: -3
#====================================================================================
# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER
# 12 10 0 0 0 0 0 1
#====================================================================================
Clock to Setup on destination clock dco_clk
---------------+---------+---------+---------+---------+
| Src:Rise| Src:Fall| Src:Rise| Src:Fall|
Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
---------------+---------+---------+---------+---------+
dco_clk | 8.642| 3.262| 1.174| 0.518|
---------------+---------+---------+---------+---------+
 
====================================================================================
Device utilization summary:
---------------------------
 
Selected Device : 6vlx75tff484-3
 
 
Slice Logic Utilization:
Number of Slice Registers: 534 out of 93120 0%
Number of Slice LUTs: 1632 out of 46560 3%
Number used as Logic: 1632 out of 46560 3%
 
Slice Logic Distribution:
Number of LUT Flip Flop pairs used: 1690
Number with an unused Flip Flop: 1156 out of 1690 68%
Number with an unused LUT: 58 out of 1690 3%
Number of fully used LUT-FF pairs: 476 out of 1690 28%
Number of unique control sets: 48
 
IO Utilization:
Number of IOs: 80
Number of bonded IOBs: 79 out of 240 32%
 
Specific Feature Utilization:
Number of Block RAM/FIFO: 3 out of 156 1%
Number using Block RAM only: 3
Number of BUFG/BUFGCTRLs: 1 out of 32 3%
Number of DSP48E1s: 1 out of 288 0%
 
---------------------------
 
====================================================================================
# SYNTHESIS DONE
#####################################################################################
 
xilinx/run_analysis.speed.mpy.log Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: xilinx/openMSP430_fpga.prj =================================================================== --- xilinx/openMSP430_fpga.prj (revision 64) +++ xilinx/openMSP430_fpga.prj (revision 68) @@ -96,3 +96,7 @@ `include "../../../rtl/verilog/omsp_dbg_hwbrk.v" `endif `endif +`include "../src/openMSP430_defines.v" +`ifdef MULTIPLIER + `include "../../../rtl/verilog/omsp_multiplier.v" +`endif Index: xilinx/run_analysis.area.mpy.log =================================================================== --- xilinx/run_analysis.area.mpy.log (nonexistent) +++ xilinx/run_analysis.area.mpy.log (revision 68) @@ -0,0 +1,889 @@ +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# spartan3 (xc3s400pq208), speedgrade: -4 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 36.357| | | 1.641| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 3s400pq208-4 + + Number of Slices: 1008 out of 3584 28% + Number of Slice Flip Flops: 533 out of 7168 7% + Number of 4 input LUTs: 1811 out of 7168 25% + Number of IOs: 80 + Number of bonded IOBs: 79 out of 141 56% + IOB Flip Flops: 10 + Number of BRAMs: 6 out of 16 37% + Number of MULT18X18s: 1 out of 16 6% + Number of GCLKs: 1 out of 8 12% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# spartan3 (xc3s400pq208), speedgrade: -5 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 33.554| | | 1.468| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 3s400pq208-5 + + Number of Slices: 1008 out of 3584 28% + Number of Slice Flip Flops: 533 out of 7168 7% + Number of 4 input LUTs: 1811 out of 7168 25% + Number of IOs: 80 + Number of bonded IOBs: 79 out of 141 56% + IOB Flip Flops: 10 + Number of BRAMs: 6 out of 16 37% + Number of MULT18X18s: 1 out of 16 6% + Number of GCLKs: 1 out of 8 12% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# spartan3e (xc3s500epq208), speedgrade: -4 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 35.454| | | 2.328| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 3s500epq208-4 + + Number of Slices: 1013 out of 4656 21% + Number of Slice Flip Flops: 533 out of 9312 5% + Number of 4 input LUTs: 1816 out of 9312 19% + Number of IOs: 80 + Number of bonded IOBs: 79 out of 158 50% + IOB Flip Flops: 10 + Number of BRAMs: 6 out of 20 30% + Number of MULT18X18SIOs: 1 out of 20 5% + Number of GCLKs: 1 out of 24 4% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# spartan3e (xc3s500epq208), speedgrade: -5 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 30.742| | | 1.538| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 3s500epq208-5 + + Number of Slices: 1013 out of 4656 21% + Number of Slice Flip Flops: 533 out of 9312 5% + Number of 4 input LUTs: 1816 out of 9312 19% + Number of IOs: 80 + Number of bonded IOBs: 79 out of 158 50% + IOB Flip Flops: 10 + Number of BRAMs: 6 out of 20 30% + Number of MULT18X18SIOs: 1 out of 20 5% + Number of GCLKs: 1 out of 24 4% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# spartan3a (xc3s700aft256), speedgrade: -4 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 39.532| | | 1.688| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 3s700aft256-4 + + Number of Slices: 1022 out of 5888 17% + Number of Slice Flip Flops: 534 out of 11776 4% + Number of 4 input LUTs: 1832 out of 11776 15% + Number of IOs: 80 + Number of bonded IOBs: 79 out of 161 49% + IOB Flip Flops: 10 + Number of BRAMs: 5 out of 20 25% + Number of MULT18X18SIOs: 1 out of 20 5% + Number of GCLKs: 1 out of 24 4% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# spartan3a (xc3s700aft256), speedgrade: -5 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 30.273| | | 1.532| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 3s700aft256-5 + + Number of Slices: 1020 out of 5888 17% + Number of Slice Flip Flops: 534 out of 11776 4% + Number of 4 input LUTs: 1827 out of 11776 15% + Number of IOs: 80 + Number of bonded IOBs: 79 out of 161 49% + IOB Flip Flops: 10 + Number of BRAMs: 5 out of 20 25% + Number of MULT18X18SIOs: 1 out of 20 5% + Number of GCLKs: 1 out of 24 4% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# spartan3adsp (xc3sd1800acs484), speedgrade: -4 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 36.164| | | 1.777| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 3sd1800acs484-4 + + Number of Slices: 1024 out of 16640 6% + Number of Slice Flip Flops: 534 out of 33280 1% + Number of 4 input LUTs: 1831 out of 33280 5% + Number of IOs: 80 + Number of bonded IOBs: 79 out of 309 25% + IOB Flip Flops: 10 + Number of BRAMs: 5 out of 84 5% + Number of GCLKs: 1 out of 24 4% + Number of DSP48s: 1 out of 84 1% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# spartan3adsp (xc3sd1800acs484), speedgrade: -5 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 28.990| | | 1.440| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 3sd1800acs484-5 + + Number of Slices: 1022 out of 16640 6% + Number of Slice Flip Flops: 534 out of 33280 1% + Number of 4 input LUTs: 1826 out of 33280 5% + Number of IOs: 80 + Number of bonded IOBs: 79 out of 309 25% + IOB Flip Flops: 10 + Number of BRAMs: 5 out of 84 5% + Number of GCLKs: 1 out of 24 4% + Number of DSP48s: 1 out of 84 1% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# spartan6 (xc6slx45tfgg484), speedgrade: -2 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 29.715| 5.512| 2.728| 2.769| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 6slx45tfgg484-2 + + +Slice Logic Utilization: + Number of Slice Registers: 533 out of 54576 0% + Number of Slice LUTs: 1436 out of 27288 5% + Number used as Logic: 1436 out of 27288 5% + +Slice Logic Distribution: + Number of LUT Flip Flop pairs used: 1466 + Number with an unused Flip Flop: 933 out of 1466 63% + Number with an unused LUT: 30 out of 1466 2% + Number of fully used LUT-FF pairs: 503 out of 1466 34% + Number of unique control sets: 50 + +IO Utilization: + Number of IOs: 80 + Number of bonded IOBs: 79 out of 296 26% + IOB Flip Flops/Latches: 10 + +Specific Feature Utilization: + Number of Block RAM/FIFO: 5 out of 348 1% + Number using Block RAM only: 5 + Number of BUFG/BUFGCTRLs: 1 out of 16 6% + Number of DSP48A1s: 1 out of 58 1% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# spartan6 (xc6slx45tfgg484), speedgrade: -3 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 23.010| 5.235| 2.087| 2.062| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 6slx45tfgg484-3 + + +Slice Logic Utilization: + Number of Slice Registers: 533 out of 54576 0% + Number of Slice LUTs: 1425 out of 27288 5% + Number used as Logic: 1425 out of 27288 5% + +Slice Logic Distribution: + Number of LUT Flip Flop pairs used: 1452 + Number with an unused Flip Flop: 919 out of 1452 63% + Number with an unused LUT: 27 out of 1452 1% + Number of fully used LUT-FF pairs: 506 out of 1452 34% + Number of unique control sets: 50 + +IO Utilization: + Number of IOs: 80 + Number of bonded IOBs: 79 out of 296 26% + IOB Flip Flops/Latches: 10 + +Specific Feature Utilization: + Number of Block RAM/FIFO: 5 out of 348 1% + Number using Block RAM only: 5 + Number of BUFG/BUFGCTRLs: 1 out of 16 6% + Number of DSP48A1s: 1 out of 58 1% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# spartan6 (xc6slx45tfgg484), speedgrade: -4 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 19.001| 4.893| 1.806| 1.570| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 6slx45tfgg484-4 + + +Slice Logic Utilization: + Number of Slice Registers: 533 out of 54576 0% + Number of Slice LUTs: 1424 out of 27288 5% + Number used as Logic: 1424 out of 27288 5% + +Slice Logic Distribution: + Number of LUT Flip Flop pairs used: 1451 + Number with an unused Flip Flop: 918 out of 1451 63% + Number with an unused LUT: 27 out of 1451 1% + Number of fully used LUT-FF pairs: 506 out of 1451 34% + Number of unique control sets: 50 + +IO Utilization: + Number of IOs: 80 + Number of bonded IOBs: 79 out of 296 26% + IOB Flip Flops/Latches: 10 + +Specific Feature Utilization: + Number of Block RAM/FIFO: 5 out of 348 1% + Number using Block RAM only: 5 + Number of BUFG/BUFGCTRLs: 1 out of 16 6% + Number of DSP48A1s: 1 out of 58 1% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# virtex4 (xc4vlx25sf363), speedgrade: -10 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 23.262| | | 0.954| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 4vlx25sf363-10 + + Number of Slices: 1021 out of 10752 9% + Number of Slice Flip Flops: 534 out of 21504 2% + Number of 4 input LUTs: 1829 out of 21504 8% + Number of IOs: 80 + Number of bonded IOBs: 79 out of 240 32% + IOB Flip Flops: 10 + Number of FIFO16/RAMB16s: 5 out of 72 6% + Number used as RAMB16s: 5 + Number of GCLKs: 1 out of 32 3% + Number of DSP48s: 1 out of 48 2% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# virtex4 (xc4vlx25sf363), speedgrade: -11 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 21.546| | | 0.850| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 4vlx25sf363-11 + + Number of Slices: 1015 out of 10752 9% + Number of Slice Flip Flops: 534 out of 21504 2% + Number of 4 input LUTs: 1810 out of 21504 8% + Number of IOs: 80 + Number of bonded IOBs: 79 out of 240 32% + IOB Flip Flops: 10 + Number of FIFO16/RAMB16s: 5 out of 72 6% + Number used as RAMB16s: 5 + Number of GCLKs: 1 out of 32 3% + Number of DSP48s: 1 out of 48 2% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# virtex4 (xc4vlx25sf363), speedgrade: -12 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 18.274| | | 0.914| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 4vlx25sf363-12 + + Number of Slices: 1018 out of 10752 9% + Number of Slice Flip Flops: 534 out of 21504 2% + Number of 4 input LUTs: 1819 out of 21504 8% + Number of IOs: 80 + Number of bonded IOBs: 79 out of 240 32% + IOB Flip Flops: 10 + Number of FIFO16/RAMB16s: 5 out of 72 6% + Number used as RAMB16s: 5 + Number of GCLKs: 1 out of 32 3% + Number of DSP48s: 1 out of 48 2% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# virtex5 (xc5vlx30ff324), speedgrade: -1 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 16.985| | | 0.781| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 5vlx30ff324-1 + + +Slice Logic Utilization: + Number of Slice Registers: 532 out of 19200 2% + Number of Slice LUTs: 1372 out of 19200 7% + Number used as Logic: 1372 out of 19200 7% + +Slice Logic Distribution: + Number of LUT Flip Flop pairs used: 1398 + Number with an unused Flip Flop: 866 out of 1398 61% + Number with an unused LUT: 26 out of 1398 1% + Number of fully used LUT-FF pairs: 506 out of 1398 36% + Number of unique control sets: 48 + +IO Utilization: + Number of IOs: 80 + Number of bonded IOBs: 79 out of 220 35% + IOB Flip Flops/Latches: 10 + +Specific Feature Utilization: + Number of Block RAM/FIFO: 3 out of 32 9% + Number using Block RAM only: 3 + Number of BUFG/BUFGCTRLs: 1 out of 32 3% + Number of DSP48Es: 1 out of 32 3% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# virtex5 (xc5vlx30ff324), speedgrade: -2 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 14.207| | | 0.680| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 5vlx30ff324-2 + + +Slice Logic Utilization: + Number of Slice Registers: 532 out of 19200 2% + Number of Slice LUTs: 1372 out of 19200 7% + Number used as Logic: 1372 out of 19200 7% + +Slice Logic Distribution: + Number of LUT Flip Flop pairs used: 1399 + Number with an unused Flip Flop: 867 out of 1399 61% + Number with an unused LUT: 27 out of 1399 1% + Number of fully used LUT-FF pairs: 505 out of 1399 36% + Number of unique control sets: 48 + +IO Utilization: + Number of IOs: 80 + Number of bonded IOBs: 79 out of 220 35% + IOB Flip Flops/Latches: 10 + +Specific Feature Utilization: + Number of Block RAM/FIFO: 3 out of 32 9% + Number using Block RAM only: 3 + Number of BUFG/BUFGCTRLs: 1 out of 32 3% + Number of DSP48Es: 1 out of 32 3% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# virtex5 (xc5vlx30ff324), speedgrade: -3 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 13.429| | | 0.601| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 5vlx30ff324-3 + + +Slice Logic Utilization: + Number of Slice Registers: 532 out of 19200 2% + Number of Slice LUTs: 1367 out of 19200 7% + Number used as Logic: 1367 out of 19200 7% + +Slice Logic Distribution: + Number of LUT Flip Flop pairs used: 1395 + Number with an unused Flip Flop: 863 out of 1395 61% + Number with an unused LUT: 28 out of 1395 2% + Number of fully used LUT-FF pairs: 504 out of 1395 36% + Number of unique control sets: 48 + +IO Utilization: + Number of IOs: 80 + Number of bonded IOBs: 79 out of 220 35% + IOB Flip Flops/Latches: 10 + +Specific Feature Utilization: + Number of Block RAM/FIFO: 3 out of 32 9% + Number using Block RAM only: 3 + Number of BUFG/BUFGCTRLs: 1 out of 32 3% + Number of DSP48Es: 1 out of 32 3% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# virtex6 (xc6vlx75tff484), speedgrade: -1 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 14.414| 3.474| 1.579| 0.604| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 6vlx75tff484-1 + + +Slice Logic Utilization: + Number of Slice Registers: 532 out of 93120 0% + Number of Slice LUTs: 1390 out of 46560 2% + Number used as Logic: 1390 out of 46560 2% + +Slice Logic Distribution: + Number of LUT Flip Flop pairs used: 1419 + Number with an unused Flip Flop: 887 out of 1419 62% + Number with an unused LUT: 29 out of 1419 2% + Number of fully used LUT-FF pairs: 503 out of 1419 35% + Number of unique control sets: 49 + +IO Utilization: + Number of IOs: 80 + Number of bonded IOBs: 79 out of 240 32% + IOB Flip Flops/Latches: 10 + +Specific Feature Utilization: + Number of Block RAM/FIFO: 3 out of 156 1% + Number using Block RAM only: 3 + Number of BUFG/BUFGCTRLs: 1 out of 32 3% + Number of DSP48E1s: 1 out of 288 0% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# virtex6 (xc6vlx75tff484), speedgrade: -2 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 12.694| 3.071| 1.435| 0.508| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 6vlx75tff484-2 + + +Slice Logic Utilization: + Number of Slice Registers: 532 out of 93120 0% + Number of Slice LUTs: 1388 out of 46560 2% + Number used as Logic: 1388 out of 46560 2% + +Slice Logic Distribution: + Number of LUT Flip Flop pairs used: 1418 + Number with an unused Flip Flop: 886 out of 1418 62% + Number with an unused LUT: 30 out of 1418 2% + Number of fully used LUT-FF pairs: 502 out of 1418 35% + Number of unique control sets: 49 + +IO Utilization: + Number of IOs: 80 + Number of bonded IOBs: 79 out of 240 32% + IOB Flip Flops/Latches: 10 + +Specific Feature Utilization: + Number of Block RAM/FIFO: 3 out of 156 1% + Number using Block RAM only: 3 + Number of BUFG/BUFGCTRLs: 1 out of 32 3% + Number of DSP48E1s: 1 out of 288 0% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### + +##################################################################################### +# START SYNTHESIS (AREA optimized) +#==================================================================================== +# virtex6 (xc6vlx75tff484), speedgrade: -3 +#==================================================================================== +# PMEM_AWIDTH DMEM_AWIDTH DBG_EN DBG_HWBRK_0 DBG_HWBRK_1 DBG_HWBRK_2 DBG_HWBRK_3 MULTIPLIER +# 12 10 0 0 0 0 0 1 +#==================================================================================== +Clock to Setup on destination clock dco_clk +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +dco_clk | 10.953| 3.120| 1.173| 0.463| +---------------+---------+---------+---------+---------+ + +==================================================================================== +Device utilization summary: +--------------------------- + +Selected Device : 6vlx75tff484-3 + + +Slice Logic Utilization: + Number of Slice Registers: 532 out of 93120 0% + Number of Slice LUTs: 1387 out of 46560 2% + Number used as Logic: 1387 out of 46560 2% + +Slice Logic Distribution: + Number of LUT Flip Flop pairs used: 1416 + Number with an unused Flip Flop: 884 out of 1416 62% + Number with an unused LUT: 29 out of 1416 2% + Number of fully used LUT-FF pairs: 503 out of 1416 35% + Number of unique control sets: 49 + +IO Utilization: + Number of IOs: 80 + Number of bonded IOBs: 79 out of 240 32% + IOB Flip Flops/Latches: 10 + +Specific Feature Utilization: + Number of Block RAM/FIFO: 3 out of 156 1% + Number using Block RAM only: 3 + Number of BUFG/BUFGCTRLs: 1 out of 32 3% + Number of DSP48E1s: 1 out of 288 0% + +--------------------------- + +==================================================================================== +# SYNTHESIS DONE +##################################################################################### +
xilinx/run_analysis.area.mpy.log Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: xilinx =================================================================== --- xilinx (revision 64) +++ xilinx (revision 68)
xilinx Property changes : Added: svn:ignore ## -0,0 +1 ## +WORK

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.