OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /openmsp430/trunk/fpga/xilinx_diligent_s3board/sim
    from Rev 28 to Rev 37
    Reverse comparison

Rev 28 → Rev 37

/rtl_sim/run/pmem.elf
0,0 → 1,?rev2len?
link ../../../software/ta_uart/ta_uart.elf
rtl_sim/run/pmem.elf Property changes : Added: svn:special ## -0,0 +1 ## +* \ No newline at end of property Index: rtl_sim/run/pmem.inc =================================================================== --- rtl_sim/run/pmem.inc (nonexistent) +++ rtl_sim/run/pmem.inc (revision 37) @@ -0,0 +1,296 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +`include "openMSP430_undefines.v" + + + + + + + + + + + + +`define PMEM_AWIDTH 11 + + + + + + + + + + + +`define DMEM_AWIDTH 9 + + + + + + +`define DBG_EN + + + + + +`define DBG_UART + + + + + + + + +`define DBG_HWBRK_0 + + + + + + + + + + + + + + + + +`define PMEM_SIZE (2 << `PMEM_AWIDTH) +`define DMEM_SIZE (2 << `DMEM_AWIDTH) + + +`define DMEM_BASE 16'h0200 + + +`define PMEM_MSB `PMEM_AWIDTH-1 +`define DMEM_MSB `DMEM_AWIDTH-1 + + + +`define INST_SO 0 +`define INST_JMP 1 +`define INST_TO 2 + + +`define RRC 0 +`define SWPB 1 +`define RRA 2 +`define SXT 3 +`define PUSH 4 +`define CALL 5 +`define RETI 6 +`define IRQ 7 + + +`define JNE 0 +`define JEQ 1 +`define JNC 2 +`define JC 3 +`define JN 4 +`define JGE 5 +`define JL 6 +`define JMP 7 + + +`define MOV 0 +`define ADD 1 +`define ADDC 2 +`define SUBC 3 +`define SUB 4 +`define CMP 5 +`define DADD 6 +`define BIT 7 +`define BIC 8 +`define BIS 9 +`define XOR 10 +`define AND 11 + + +`define DIR 0 +`define IDX 1 +`define INDIR 2 +`define INDIR_I 3 +`define SYMB 4 +`define IMM 5 +`define ABS 6 +`define CONST 7 + + +`define E_IRQ_0 4'h0 +`define E_IRQ_1 4'h1 +`define E_IRQ_2 4'h2 +`define E_IRQ_3 4'h3 +`define E_IRQ_4 4'h4 +`define E_SRC_AD 4'h5 +`define E_SRC_RD 4'h6 +`define E_SRC_WR 4'h7 +`define E_DST_AD 4'h8 +`define E_DST_RD 4'h9 +`define E_DST_WR 4'hA +`define E_EXEC 4'hB +`define E_JUMP 4'hC +`define E_IDLE 4'hD + + +`define ALU_SRC_INV 0 +`define ALU_INC 1 +`define ALU_INC_C 2 +`define ALU_ADD 3 +`define ALU_AND 4 +`define ALU_OR 5 +`define ALU_XOR 6 +`define ALU_DADD 7 +`define ALU_STAT_7 8 +`define ALU_STAT_F 9 +`define ALU_SHIFT 10 +`define EXEC_NO_WR 11 + + +`define DBG_UART_WR 18 +`define DBG_UART_BW 17 +`define DBG_UART_ADDR 16:11 + + +`define HALT 0 +`define RUN 1 +`define ISTEP 2 +`define SW_BRK_EN 3 +`define FRZ_BRK_EN 4 +`define RST_BRK_EN 5 +`define CPU_RST 6 + + +`define HALT_RUN 0 +`define PUC_PND 1 +`define SWBRK_PND 3 +`define HWBRK0_PND 4 +`define HWBRK1_PND 5 + + +`define BRK_MODE_RD 0 +`define BRK_MODE_WR 1 +`define BRK_MODE 1:0 +`define BRK_EN 2 +`define BRK_I_EN 3 +`define BRK_RANGE 4 + + +`define DIVAx 5:4 + + +`define SELS 3 +`define DIVSx 2:1 + + +`define TASSELx 9:8 +`define TAIDx 7:6 +`define TAMCx 5:4 +`define TACLR 2 +`define TAIE 1 +`define TAIFG 0 + + +`define TACMx 15:14 +`define TACCISx 13:12 +`define TASCS 11 +`define TASCCI 10 +`define TACAP 8 +`define TAOUTMODx 7:5 +`define TACCIE 4 +`define TACCI 3 +`define TAOUT 2 +`define TACOV 1 +`define TACCIFG 0 + + + + + + +`define DBG_SWBRK_OP 16'h4343 + + +`define DBG_ID 24'h4D5350 + + + + + +`define DBG_UART_AUTO_SYNC + + + + + + + + + + + + + + + +`define DBG_UART_BAUD 2000000 +`define DBG_DCO_FREQ 20000000 +`define DBG_UART_CNT ((`DBG_DCO_FREQ/`DBG_UART_BAUD)-1) + + +`ifdef DBG_EN + `ifdef DBG_UART + `ifdef DBG_JTAG +CONFIGURATION ERROR: JTAG AND UART DEBUG INTERFACE ARE BOTH ENABLED + `endif + `else + `ifdef DBG_JTAG +CONFIGURATION ERROR: JTAG INTERFACE NOT SUPPORTED + `else +CONFIGURATION ERROR: JTAG OR UART DEBUG INTERFACE SHOULD BE ENABLED + `endif + `endif +`endif
rtl_sim/run/pmem.inc Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: rtl_sim/run/pmem.ihex =================================================================== --- rtl_sim/run/pmem.ihex (nonexistent) +++ rtl_sim/run/pmem.ihex (revision 37) @@ -0,0 +1,157 @@ +:10F0000031400003B240805A20013F4002000F937C +:10F0100005242F839F4F7EF90002FB233F4007000A +:10F020000F9304241F83CF430202FC23304096F049 +:10F03000304034F000133F533F9305240343034310 +:10F040003F533F93FB2330410D0A3D3D3D3D3D3D48 +:10F05000206F70656E4D535034333020696E2061DF +:10F060006374696F6E203D3D3D3D3D3D0D000D0AD1 +:10F0700053696D706C65204C696E652045646974D8 +:10F080006F722052656164790D003E20000D003AD8 +:10F0900025730D0A00003140D80204410A430B4396 +:10F0A000B240805A2001E2432100C2432600E243DD +:10F0B0002200C2432400C2432500C2432900E24289 +:10F0C0002E00F240FBFF2A00C2432C00C2432D0059 +:10F0D000F2431A00F24319003F43B01236F03F43A7 +:10F0E000B01236F0C2431900B24004026001B240CF +:10F0F000109962018243640182436601B2D020000C +:10F10000600132D23F4048F0B01200F93F406EF04B +:10F11000B01200F930128AF0B012D4F21943215320 +:10F1200032D010001B533B9009003D241F430E4B6F +:10F130000E9303240F5F1E83FD23C24F19005F420D +:10F1400008028F113F9221243F900D000E243A9027 +:10F150002800082CB01210F20F4A0F54DF420802A8 +:10F1600000001A530993DC23D53F3F408DF0B012C5 +:10F1700000F90A54CA430000041230128FF0B01292 +:10F18000D4F209430A432152ED3F1A93EB3B3A5321 +:10F190003F42B01210F23F402000B01210F23F4246 +:10F1A000B01210F2DF3F0B43C13F31502800304016 +:10F1B0007CF910505210183C203C1F3C1E3C1D3C5A +:10F1C0001C3C1B3C1A3CB2B00004620150103610CB +:10F1D00080433410B24010996201D04028102A10A8 +:10F1E000B1C0F0000000001380431A10B250570065 +:10F1F0007201B24010186201053CB2B00004620115 +:10F2000050100210B250AE007201A053FA0F00135A +:10F21000824364013D400A000F5F3FD000029242EA +:10F2200070017401B250AE0074010F10032CE2C3E0 +:10F230002100033CE2D32100003C92B36401FD278E +:10F2400092C364011D83EE233041F240A5005700B4 +:10F25000C2435800B24004026001B2D020006001F5 +:10F26000B2400051660192B36601FD271F4276014C +:10F2700092C3660192B36601FD2792C366015E42A6 +:10F2800057007EC0F8005D4256007D90FF000620CA +:10F290007E9007001C34D2535700063C4D93082043 +:10F2A0004E931524D2835700F2403C005600DB3FBA +:10F2B0001C4276010C8F1F4276013C90BB00043843 +:10F2C0000624D2835600CF3FD2535600CC3F824310 +:10F2D000660130412F430F513E4F0D4F3F4010F21A +:10F2E000B0124AF330410B120A120A4F0B4E0E9322 +:10F2F00002201F430D3C6F4A8F111A5392120202D3 +:10F300000F930538925300023B53F523F23F3F43DE +:10F310003A413B4130410B120A120912494F4B4E00 +:10F320005E930C384A4F8A110F4A921202020F93D1 +:10F330000738925300027B535B93F6374F49013CE9 +:10F340003F4339413A413B4130410B120A12091205 +:10F350000812071206120512041231803C00054DF6 +:10F36000814330008143320082430002824F020217 +:10F37000064E0F46674647930A24779025000724D8 +:10F38000165367464793032477902500F9230D46CB +:10F390000D8F02243040D8F8479302203040E6F821 +:10F3A0001653C1432E00C1433500C1432F007B4398 +:10F3B000C1432A00674616537790750002203040FB +:10F3C000B2F84F477FD020007F9078000220304075 +:10F3D000B2F877902000022030409EF8779023000A +:10F3E0000220304096F877902A00022030407AF8C8 +:10F3F00077902D00022030406AF877902B00022091 +:10F40000304060F877902E0002203040FAF7779075 +:10F41000300002203040E4F74F477F50CFFF7F900D +:10F4200009001A2C0D430F4D0F5F0F5F0E4D0E5E3E +:10F430000D4F0D5E0D5E0D5E4F478F110D5F3D5000 +:10F44000D0FF674616534F477F50D0FF7F900A008A +:10F45000EA2BC14D2F00B03F77906800BF25779011 +:10F460006C000320D1D32E00A53F77906300AF2519 +:10F4700077904400A925779064007A2577906900F9 +:10F48000772577904F00712577906F006B257790E7 +:10F4900070005925779073001A2577905500142530 +:10F4A000779075000D25779058008A247790780022 +:10F4B0008724479302203040E6F881412C00C14761 +:10F4C00000005943C1432A004B495A4135004A893B +:10F4D00075305E412A004E936B245B534B5A584162 +:10F4E0002E0078F0300013205D412F008D114F4B1E +:10F4F0008F110D8F1D930B384E4D7F402000B012A1 +:10F5000016F30F9302343040E6F85E412A004E9322 +:10F510004A20F1B040002E000F24F14030002800B6 +:10F52000C14729002E430F413F502800B012E6F298 +:10F530000F9302343040E6F87890200024244E4A9D +:10F540007F403000B01216F30F93CD394F498F1121 +:10F550000E4F1F412C00B012E6F20F93C439F1B0E8 +:10F5600010002E0006275D412F008D114F4B8F118B +:10F570000D8F1D93FE3A4E4D7F402000B01216F3C2 +:10F580000F93F736B03D5D412F008D114F4B8F111A +:10F590000D8F1D93D43B4E4D7F403000B01216F3BB +:10F5A0000F93CD37A03D1E430F413F502A00BE3F71 +:10F5B000F1B040002E0092276B53903F4A43893FA1 +:10F5C000F14010003400F1B22E0009248193300084 +:10F5D0000320819332000324F1D040002E00C14368 +:10F5E0002A00C14B35004B930338F1F0DFFF2E00AA +:10F5F0000F413F502800814F2C008193300006209E +:10F60000819332000320C19335003E24D141340060 +:10F610003800C143390081433A00C14336001E41DE +:10F6200030001F4132001E8138001F713A0002284D +:10F63000D14336001C4130001D4132001A413800D0 +:10F640001B413A00B01252F9444E7E900A00302C11 +:10F6500074503000B1532C001F412C00CF440000E7 +:10F660001C4130001D4132001A4138001B413A0054 +:10F67000B01252F9814C3000814D3200C1933600F6 +:10F68000CC23F19234000624494159812C00795051 +:10F6900028001A3FF1B22E00F72774903000F427AB +:10F6A000B1532C001F412C00FF4030000000EC3F04 +:10F6B0007450570077905800CD2374F0DFFFCA3F95 +:10F6C000F1400A0034008B3FD1D32E00F93F0F45A3 +:10F6D0002553A14F2C0081932C001E244B931138ED +:10F6E0004F4B8F110D4F0E431F412C00B0122EF9BE +:10F6F0000F930524494F59812C004B99E336494B10 +:10F70000E13E1F412C001F831F53CF930000FC23B9 +:10F71000494F59812C00D63E81412C00F1402800F0 +:10F720000000F1406E000100F14075000200F14060 +:10F730006C000300F1406C000400F140290005005A +:10F74000C1430600CB3F0F452553A14F30008143F5 +:10F750003200F14010003400F1D040002E0077401C +:10F7600078003D3FF14234003A3FD1D32E00FA3FBA +:10F77000D1B32E0019240F452552B14F3000B14F9F +:10F780003200819332000438F1400A003400293FEE +:10F79000B1E33000B1E332009153300081633200B5 +:10F7A000F1402D002A00F03F0F452553A14F3000B6 +:10F7B000914130003200915132003200917132009B +:10F7C0003200B1E33200DD3FD1D32E00D13F814181 +:10F7D0002C000F452553E14F0000733EE1D22E006F +:10F7E0003040B4F3F1B010002E0002243040B4F3E6 +:10F7F000F1D020002E003040B4F3674616537790C6 +:10F800002A0024240D434F477F50D0FF7F900A00E9 +:10F81000162C0F4D0F5F0F5F0E4D0E5E0D4F0D5EE0 +:10F820000D5E0D5E4F478F110D5F3D50D0FF674657 +:10F8300016534F477F50D0FF7F900A00EA2B0F4DA1 +:10F840003D9301343F434B4F3040B8F30F452553B0 +:10F850002D4F0F4D3D9301343F434B4F3040B4F398 +:10F86000F1402B002A003040B4F3F1D010002E00FC +:10F87000F1F0DFFF2E003040B4F30F452553E14F88 +:10F880002F00C1932F0002383040B4F3F1E32F0072 +:10F89000D1532F00EA3FF1D22E003040B4F3C19390 +:10F8A0002A0002243040B4F3F14020002A00304006 +:10F8B000B4F3D1B32E0008240F452552B14F3000C8 +:10F8C000B14F32003040D2F30F452553A14F3000E5 +:10F8D000814332003040D2F30E4DB012E6F20F9366 +:10F8E0000238304098F31F42000231503C0034414E +:10F8F000354136413741384139413A413B41304148 +:10F900000B120B4F0E436F4B4F9309248F111B5358 +:10F91000B01210F20E4F0F93F6370F4E063C0E93B7 +:10F92000FC3B3F400A00B01210F23B4130410B1249 +:10F930000B4F4C4E0D9307240E4B6F4E1E534F9C96 +:10F9400004243D53FA230F43023C0F4E3F533B41E7 +:10F9500030410FEF0EEE394021000A3C08100E6EC8 +:10F960000F6F0F9B052802200E9A02280E8A0F7B2C +:0EF970000C6C0D6D08681983F1233041FF3FC8 +:02F97E00000087 +:10FFE00030F030F030F030F030F030F030F030F011 +:10FFF00030F0B2F130F030F030F030F030F000F0AE +:040000030000F00009 +:00000001FF
rtl_sim/run/pmem.ihex Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: rtl_sim/run/pmem.mem =================================================================== --- rtl_sim/run/pmem.mem (nonexistent) +++ rtl_sim/run/pmem.mem (revision 37) @@ -0,0 +1,130 @@ + +@0000 4031 0300 40B2 5A80 0120 403F 0002 930F 2405 832F 4F9F F97E 0200 23FB 403F 0007 +@0010 930F 2404 831F 43CF 0202 23FC 4030 F096 4030 F034 1300 533F 933F 2405 4303 4303 +@0020 533F 933F 23FB 4130 0A0D 3D3D 3D3D 3D3D 6F20 6570 4D6E 5053 3334 2030 6E69 6120 +@0030 7463 6F69 206E 3D3D 3D3D 3D3D 000D 0A0D 6953 706D 656C 4C20 6E69 2065 6445 7469 +@0040 726F 5220 6165 7964 000D 203E 0D00 3A00 7325 0A0D 0000 4031 02D8 4104 430A 430B +@0050 40B2 5A80 0120 43E2 0021 43C2 0026 43E2 0022 43C2 0024 43C2 0025 43C2 0029 42E2 +@0060 002E 40F2 FFFB 002A 43C2 002C 43C2 002D 43F2 001A 43F2 0019 433F 12B0 F036 433F +@0070 12B0 F036 43C2 0019 40B2 0204 0160 40B2 9910 0162 4382 0164 4382 0166 D0B2 0020 +@0080 0160 D232 403F F048 12B0 F900 403F F06E 12B0 F900 1230 F08A 12B0 F2D4 4319 5321 +@0090 D032 0010 531B 903B 0009 243D 431F 4B0E 930E 2403 5F0F 831E 23FD 4FC2 0019 425F +@00a0 0208 118F 923F 2421 903F 000D 240E 903A 0028 2C08 12B0 F210 4A0F 540F 42DF 0208 +@00b0 0000 531A 9309 23DC 3FD5 403F F08D 12B0 F900 540A 43CA 0000 1204 1230 F08F 12B0 +@00c0 F2D4 4309 430A 5221 3FED 931A 3BEB 533A 423F 12B0 F210 403F 0020 12B0 F210 423F +@00d0 12B0 F210 3FDF 430B 3FC1 5031 0028 4030 F97C 5010 1052 3C18 3C20 3C1F 3C1E 3C1D +@00e0 3C1C 3C1B 3C1A B0B2 0400 0162 1050 1036 4380 1034 40B2 9910 0162 40D0 1028 102A +@00f0 C0B1 00F0 0000 1300 4380 101A 50B2 0057 0172 40B2 1810 0162 3C05 B0B2 0400 0162 +@0100 1050 1002 50B2 00AE 0172 53A0 0FFA 1300 4382 0164 403D 000A 5F0F D03F 0200 4292 +@0110 0170 0174 50B2 00AE 0174 100F 2C03 C3E2 0021 3C03 D3E2 0021 3C00 B392 0164 27FD +@0120 C392 0164 831D 23EE 4130 40F2 00A5 0057 43C2 0058 40B2 0204 0160 D0B2 0020 0160 +@0130 40B2 5100 0166 B392 0166 27FD 421F 0176 C392 0166 B392 0166 27FD C392 0166 425E +@0140 0057 C07E 00F8 425D 0056 907D 00FF 2006 907E 0007 341C 53D2 0057 3C06 934D 2008 +@0150 934E 2415 83D2 0057 40F2 003C 0056 3FDB 421C 0176 8F0C 421F 0176 903C 00BB 3804 +@0160 2406 83D2 0056 3FCF 53D2 0056 3FCC 4382 0166 4130 432F 510F 4F3E 4F0D 403F F210 +@0170 12B0 F34A 4130 120B 120A 4F0A 4E0B 930E 2002 431F 3C0D 4A6F 118F 531A 1292 0202 +@0180 930F 3805 5392 0200 533B 23F5 3FF2 433F 413A 413B 4130 120B 120A 1209 4F49 4E4B +@0190 935E 380C 4F4A 118A 4A0F 1292 0202 930F 3807 5392 0200 537B 935B 37F6 494F 3C01 +@01a0 433F 4139 413A 413B 4130 120B 120A 1209 1208 1207 1206 1205 1204 8031 003C 4D05 +@01b0 4381 0030 4381 0032 4382 0200 4F82 0202 4E06 460F 4667 9347 240A 9077 0025 2407 +@01c0 5316 4667 9347 2403 9077 0025 23F9 460D 8F0D 2402 4030 F8D8 9347 2002 4030 F8E6 +@01d0 5316 43C1 002E 43C1 0035 43C1 002F 437B 43C1 002A 4667 5316 9077 0075 2002 4030 +@01e0 F8B2 474F D07F 0020 907F 0078 2002 4030 F8B2 9077 0020 2002 4030 F89E 9077 0023 +@01f0 2002 4030 F896 9077 002A 2002 4030 F87A 9077 002D 2002 4030 F86A 9077 002B 2002 +@0200 4030 F860 9077 002E 2002 4030 F7FA 9077 0030 2002 4030 F7E4 474F 507F FFCF 907F +@0210 0009 2C1A 430D 4D0F 5F0F 5F0F 4D0E 5E0E 4F0D 5E0D 5E0D 5E0D 474F 118F 5F0D 503D +@0220 FFD0 4667 5316 474F 507F FFD0 907F 000A 2BEA 4DC1 002F 3FB0 9077 0068 25BF 9077 +@0230 006C 2003 D3D1 002E 3FA5 9077 0063 25AF 9077 0044 25A9 9077 0064 257A 9077 0069 +@0240 2577 9077 004F 2571 9077 006F 256B 9077 0070 2559 9077 0073 251A 9077 0055 2514 +@0250 9077 0075 250D 9077 0058 248A 9077 0078 2487 9347 2002 4030 F8E6 4181 002C 47C1 +@0260 0000 4359 43C1 002A 494B 415A 0035 894A 3075 415E 002A 934E 246B 535B 5A4B 4158 +@0270 002E F078 0030 2013 415D 002F 118D 4B4F 118F 8F0D 931D 380B 4D4E 407F 0020 12B0 +@0280 F316 930F 3402 4030 F8E6 415E 002A 934E 204A B0F1 0040 002E 240F 40F1 0030 0028 +@0290 47C1 0029 432E 410F 503F 0028 12B0 F2E6 930F 3402 4030 F8E6 9078 0020 2424 4A4E +@02a0 407F 0030 12B0 F316 930F 39CD 494F 118F 4F0E 411F 002C 12B0 F2E6 930F 39C4 B0F1 +@02b0 0010 002E 2706 415D 002F 118D 4B4F 118F 8F0D 931D 3AFE 4D4E 407F 0020 12B0 F316 +@02c0 930F 36F7 3DB0 415D 002F 118D 4B4F 118F 8F0D 931D 3BD4 4D4E 407F 0030 12B0 F316 +@02d0 930F 37CD 3DA0 431E 410F 503F 002A 3FBE B0F1 0040 002E 2792 536B 3F90 434A 3F89 +@02e0 40F1 0010 0034 B2F1 002E 2409 9381 0030 2003 9381 0032 2403 D0F1 0040 002E 43C1 +@02f0 002A 4BC1 0035 934B 3803 F0F1 FFDF 002E 410F 503F 0028 4F81 002C 9381 0030 2006 +@0300 9381 0032 2003 93C1 0035 243E 41D1 0034 0038 43C1 0039 4381 003A 43C1 0036 411E +@0310 0030 411F 0032 811E 0038 711F 003A 2802 43D1 0036 411C 0030 411D 0032 411A 0038 +@0320 411B 003A 12B0 F952 4E44 907E 000A 2C30 5074 0030 53B1 002C 411F 002C 44CF 0000 +@0330 411C 0030 411D 0032 411A 0038 411B 003A 12B0 F952 4C81 0030 4D81 0032 93C1 0036 +@0340 23CC 92F1 0034 2406 4149 8159 002C 5079 0028 3F1A B2F1 002E 27F7 9074 0030 27F4 +@0350 53B1 002C 411F 002C 40FF 0030 0000 3FEC 5074 0057 9077 0058 23CD F074 FFDF 3FCA +@0360 40F1 000A 0034 3F8B D3D1 002E 3FF9 450F 5325 4FA1 002C 9381 002C 241E 934B 3811 +@0370 4B4F 118F 4F0D 430E 411F 002C 12B0 F92E 930F 2405 4F49 8159 002C 994B 36E3 4B49 +@0380 3EE1 411F 002C 831F 531F 93CF 0000 23FC 4F49 8159 002C 3ED6 4181 002C 40F1 0028 +@0390 0000 40F1 006E 0001 40F1 0075 0002 40F1 006C 0003 40F1 006C 0004 40F1 0029 0005 +@03a0 43C1 0006 3FCB 450F 5325 4FA1 0030 4381 0032 40F1 0010 0034 D0F1 0040 002E 4077 +@03b0 0078 3F3D 42F1 0034 3F3A D3D1 002E 3FFA B3D1 002E 2419 450F 5225 4FB1 0030 4FB1 +@03c0 0032 9381 0032 3804 40F1 000A 0034 3F29 E3B1 0030 E3B1 0032 5391 0030 6381 0032 +@03d0 40F1 002D 002A 3FF0 450F 5325 4FA1 0030 4191 0030 0032 5191 0032 0032 7191 0032 +@03e0 0032 E3B1 0032 3FDD D3D1 002E 3FD1 4181 002C 450F 5325 4FE1 0000 3E73 D2E1 002E +@03f0 4030 F3B4 B0F1 0010 002E 2402 4030 F3B4 D0F1 0020 002E 4030 F3B4 4667 5316 9077 +@0400 002A 2424 430D 474F 507F FFD0 907F 000A 2C16 4D0F 5F0F 5F0F 4D0E 5E0E 4F0D 5E0D +@0410 5E0D 5E0D 474F 118F 5F0D 503D FFD0 4667 5316 474F 507F FFD0 907F 000A 2BEA 4D0F +@0420 933D 3401 433F 4F4B 4030 F3B8 450F 5325 4F2D 4D0F 933D 3401 433F 4F4B 4030 F3B4 +@0430 40F1 002B 002A 4030 F3B4 D0F1 0010 002E F0F1 FFDF 002E 4030 F3B4 450F 5325 4FE1 +@0440 002F 93C1 002F 3802 4030 F3B4 E3F1 002F 53D1 002F 3FEA D2F1 002E 4030 F3B4 93C1 +@0450 002A 2402 4030 F3B4 40F1 0020 002A 4030 F3B4 B3D1 002E 2408 450F 5225 4FB1 0030 +@0460 4FB1 0032 4030 F3D2 450F 5325 4FA1 0030 4381 0032 4030 F3D2 4D0E 12B0 F2E6 930F +@0470 3802 4030 F398 421F 0200 5031 003C 4134 4135 4136 4137 4138 4139 413A 413B 4130 +@0480 120B 4F0B 430E 4B6F 934F 2409 118F 531B 12B0 F210 4F0E 930F 37F6 4E0F 3C06 930E +@0490 3BFC 403F 000A 12B0 F210 413B 4130 120B 4F0B 4E4C 930D 2407 4B0E 4E6F 531E 9C4F +@04a0 2404 533D 23FA 430F 3C02 4E0F 533F 413B 4130 EF0F EE0E 4039 0021 3C0A 1008 6E0E +@04b0 6F0F 9B0F 2805 2002 9A0E 2802 8A0E 7B0F 6C0C 6D0D 6808 8319 23F1 4130 3FFF 0000 +@04c0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@04d0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@04e0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@04f0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0500 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0510 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0520 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0530 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0540 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0550 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0560 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0570 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0580 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0590 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@05a0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@05b0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@05c0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@05d0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@05e0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@05f0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0600 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0610 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0620 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0630 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0640 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0650 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0660 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0670 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0680 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0690 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@06a0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@06b0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@06c0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@06d0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@06e0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@06f0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0700 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0710 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0720 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0730 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0740 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0750 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0760 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0770 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0780 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@0790 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@07a0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@07b0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@07c0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@07d0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@07e0 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 +@07f0 F030 F030 F030 F030 F030 F030 F030 F030 F030 F1B2 F030 F030 F030 F030 F030 F000 +
rtl_sim/run/pmem.mem Property changes : Added: svn:eol-style ## -0,0 +1 ## +native \ No newline at end of property Index: rtl_sim/src/submit.f =================================================================== --- rtl_sim/src/submit.f (revision 28) +++ rtl_sim/src/submit.f (revision 37) @@ -63,19 +63,19 @@ +incdir+../../../rtl/verilog/openmsp430/ ../../../rtl/verilog/openmsp430/openMSP430.v -../../../rtl/verilog/openmsp430/frontend.v -../../../rtl/verilog/openmsp430/execution_unit.v -../../../rtl/verilog/openmsp430/register_file.v -../../../rtl/verilog/openmsp430/alu.v -../../../rtl/verilog/openmsp430/mem_backbone.v -../../../rtl/verilog/openmsp430/clock_module.v -../../../rtl/verilog/openmsp430/sfr.v -../../../rtl/verilog/openmsp430/dbg.v -../../../rtl/verilog/openmsp430/dbg_hwbrk.v -../../../rtl/verilog/openmsp430/dbg_uart.v -../../../rtl/verilog/openmsp430/watchdog.v -../../../rtl/verilog/openmsp430/periph/gpio.v -../../../rtl/verilog/openmsp430/periph/timerA.v +../../../rtl/verilog/openmsp430/omsp_frontend.v +../../../rtl/verilog/openmsp430/omsp_execution_unit.v +../../../rtl/verilog/openmsp430/omsp_register_file.v +../../../rtl/verilog/openmsp430/omsp_alu.v +../../../rtl/verilog/openmsp430/omsp_mem_backbone.v +../../../rtl/verilog/openmsp430/omsp_clock_module.v +../../../rtl/verilog/openmsp430/omsp_sfr.v +../../../rtl/verilog/openmsp430/omsp_dbg.v +../../../rtl/verilog/openmsp430/omsp_dbg_hwbrk.v +../../../rtl/verilog/openmsp430/omsp_dbg_uart.v +../../../rtl/verilog/openmsp430/omsp_watchdog.v +../../../rtl/verilog/openmsp430/periph/omsp_gpio.v +../../../rtl/verilog/openmsp430/periph/omsp_timerA.v //=============================================================================
/rtl_sim/bin/msp430sim
73,7 → 73,7
# Cleanup #
###############################################################################
echo "Cleanup..."
rm -rf rom.*
rm -rf pmem.*
rm -rf stimulus.v
 
 
90,26 → 90,26
cd ../../sim/rtl_sim/run/
 
# Create links
ln -s $elffile rom.elf
ln -s $elffile pmem.elf
ln -s $verfile stimulus.v
 
# Make local copy of the openMSP403 configuration file and remove comments
cp $incfile ./rom.inc
sed -i "/^\/\// s,.*,," rom.inc
cp $incfile ./pmem.inc
sed -i "/^\/\// s,.*,," pmem.inc
 
# Get ROM size
romsize=`grep ROM_AWIDTH rom.inc | grep -v ROM_MSB | grep -v ROM_SIZE`
romsize=${romsize##* }
romsize=$((2<<$romsize))
# Get Program memory size
pmemsize=`grep PMEM_AWIDTH pmem.inc | grep -v PMEM_MSB | grep -v PMEM_SIZE`
pmemsize=${pmemsize##* }
pmemsize=$((2<<$pmemsize))
 
# Create IHEX file from ELF
echo "Convert ELF file to IHEX format..."
msp430-objcopy -O ihex rom.elf rom.ihex
msp430-objcopy -O ihex pmem.elf pmem.ihex
 
# Generate ROM memory file
# Generate Program memory file
echo "Convert IHEX file to Verilog MEMH format..."
../bin/ihex2mem.tcl -ihex rom.ihex -out rom.mem -mem_size $romsize
../bin/ihex2mem.tcl -ihex pmem.ihex -out pmem.mem -mem_size $pmemsize
 
# Start verilog simulation
echo "Start Verilog simulation..."
../bin/rtlsim.sh stimulus.v rom.mem $submitfile
../bin/rtlsim.sh stimulus.v pmem.mem $submitfile
/rtl_sim/bin/rtlsim.sh
40,8 → 40,8
EXPECTED_ARGS=3
if [ $# -ne $EXPECTED_ARGS ]; then
echo "ERROR : wrong number of arguments"
echo "USAGE : rtlsim.sh <verilog stimulus file> <rom file> <submit file>"
echo "Example : rtlsim.sh ./stimulus.v rom.mem ../src/submit.f"
echo "USAGE : rtlsim.sh <verilog stimulus file> <memory file> <submit file>"
echo "Example : rtlsim.sh ./stimulus.v pmem.mem ../src/submit.f"
exit 1
fi
 
55,7 → 55,7
exit 1
fi
if [ ! -e $2 ]; then
echo "ROM memory file $2 doesn't exist"
echo "Memory file $2 doesn't exist"
exit 1
fi
if [ ! -e $3 ]; then

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.